Text preview for : ipw90r340c3_1[1].0_pcn.pdf part of Infineon ipw90r340c3 1[1].0 pcn . Electronic Components Datasheets Active components Transistors Infineon ipw90r340c3_1[1].0_pcn.pdf



Back to : ipw90r340c3_1[1].0_pcn.pd | Home

9?E0'@*+'4*

4VVS=>A` # : A 0<& < == : <
,9 4 >
# < /?.>% ?8 8 ,<
: C
7LHZ[XLY
- !0 8 C X 2)) O
U )DL: H ;D; : GI/ HG M . X
I > G
B >
+ =L"`_#%^Ri , C6+.t< )',- W
U " MI: B : 9K G : 9
G 9I 6I
* X%eja 2- _<
U % > E: 6@8 G: CI8
<= JG 6E67>I
AN
>

U . J >> 9 for industrial grade applications 68DG C< I ' " !"
6A :
; 8 9> D
U- 7
;: : A 69 EA > / D% 0 8 EA
G : 6IC< DB >6CI

U2 A 6 A <6I 8 <:
I DL
G : =6G I@&MH+-0


4VVS=>AD ( 4 /0=29 0/ 1: <
= 4

U. J >/ : H
6H DC6CI# A768 # DG 9 I D<> H
N @ L6G DEDA :

U- 0> : G
A 7DM 6C9 8 J : G6EEA 6IDCH
K DCH B > >
8

U&C9J I> 0 * - 0
HG6A




B_WL ?HJRHNL =HXRPUN

BIP2)K,-)<, I@&MH+-0 2K,-)<

! ,B4 ?8 < 4 2= 6I, C X J : H DI G H H 8> 9
8 ,>9 CA H =: L> : E: > :
;

?HXHTLZLX A_TIVS 4VUKPZPVUY DHS[L CUPZ

DCICJ H9G C 8 G: CI
> DJ 6> J G (= , < X *. 9

, < X 2'.

- JH 9 9G C 8 G: CI+#
A: 6> J G ( =%af]dV , < X ,-

: A:
K 6C8 : C: G HC 6A =: ' 9L ( = - == 3 /01 ^C

6A =: > :
K 6C8 : C: G G E: IIK 0 9K+#%,# ' 9K ( = - == 3 *

K 6C8 8 G: CI G E: IIK 0 9K+#%,#
6A =: J G : >> : ( 9K ,'* 9

* , 0 # " 1 91 (U G <<: 9C: H
(U0 J H U1 (U
(U0 - =L 3 .) O(_d

: DJ 8 DA
$ 6I H G : K I
6<: - @L deReZT u+) O

; % O
u,)

- DL: G9> HE6IDC
H> > ) e`e , < X +)1 P

, E: G > 6C9 HDG
6IC< : 6I G
I 6<: I B E: G J : , C , deX
t<

* DJ > I F :
CIC< DG J * 6C9 * H G LH
8: /) GT^


/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
9?E0'@*+'4*

! ,B4 ?8 < 4 2= 6I, [ X J : H DI G H H 8> 9
8 ,>9 CA H =: L> : E: > :
;


?HXHTLZLX A_TIVS 4VUKPZPVUY DHS[L CUPZ

DCICJ H9> ; L6G 8 G: CI
> DJ D9: DG 9 J G (L 2'+ 9
, < X
D9: EJH 8 G: CI+#
!> A: J G ( L%af]dV ,-
-#
/ : K G : 9> 91 (U
: H D9: (U (U0
U1 (U - O(_d



?HXHTLZLX A_TIVS 4VUKPZPVUY DHS[LY CUPZ

TPU% Z_W% TH^%

& 30< ,7.3,< 0<= 4
8 ,.> 4 >.=

1=: G 6A : HH6C8 ? C8DC
8 :
B G >I : J I > 6H + eYC< & & )'/ D(P

1=: G 6A : HH6C8 ? C8DC

B G >I : J I >
+ eYC9 ]VRUVU & & /+
R^SZV_e

0 DA GC< I B E: G J :
9: > : 6I G B B >
C
, d`]U & & +/) t<
L6K H 9: GC< DCA 6A
: DA > DL: :
N A 9 6IA 69H ;DB 8 : ; H
G 6H DG


7 <.,7.3,< 0<= 4 6I, [ X J : H DI G H H 8> 9
0.>4 ,.> 4 >.= CA H =: L> : E: > :
;


% > 4 .3,< 0<= 4
,>. ,.> 4 >.=

!G C
H G : 7G 6@
6> DJ 8 : 9DLC K I
DA6<: - ";K#=LL - @L 3 ( = Z 2)) & & O

: =G =DA DA 6<:
$ 6I I : H 9 K I - @L"eY# - =L6- @L ( = B +'. , ,'.

- =L 3 - @L 3
5: G <6I K I
D : DA6<: 9G C 8 G: CI
6> J G ( =LL & & + v9
, [ X

- =L 3 - @L 3
& +) &
, [ X

: DJ 8 : 6<: 8 G: CI
$ 6I
H G : A 6@ JG ( @LL - @L 3 - =L 3 & & *)) _9

- @L 3 ( =
!G C
H G : DC
H6I G HH6C8
6> DJ 8 I : : >I : + =L"`_# & )'+1 )',- W
, [ X

- @L 3 ( =
& )'0/ &
, [ X

$ 6I G HH6C8
: : >I : +@ . * % O DE: C 9G C
6>

W




/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
9?E0'@*+'4*

?HXHTLZLX A_TIVS 4VUKPZPVUY DHS[LY CUPZ
TPU% Z_W% TH^%

C9 ,8 4 .3,< 0<= 4
. ,.> 4 >.=

&CEJ 8
I 6E686C8
> :
I % Zdd - @L 3 - =L 3 & +-)) & a?

, J EJ 8
I I 6E686C8
> :
I % `dd . * % O & *+) &

; I:
> > :
I I I 6E686C8 : C: G
" ;: 8K DJ EJ 8
% `"Vc# & 0* &
G A : 9 .#
: 6I
- @L 3 - =L 3
I 3
D
" ;: 8K DJ EJ 8
; I:
> I I 6E686C8 IB :
> : >
I
% `"ec# & +1) &
G A : 9 /#
: 6I

1J C
DC 9: A IB :
G 6N > 0 U"`_# & 0) & _d

H >
/ > : IB : 0c - == 3 & +) &
- @L 3 ( =
G ; 6N >
1J C
D; 9: A IB : 0 U"`WW# + @ W & -)) &

# 6AIB :
A> 0W & +. &


:
$ 6I <:
=6G =6G I GH> H
68 > I8
:

$ 6I I H G : 8 <:
: D DJ 8 =6G * Xd & ** & _<

$ 6I I 9G C 8 <:
: D 6> =6G * XU - == 3 ( = & -* &

*X - @L I 3
D
$ 6I 8 <: I 6A
: =6G DI & 2- eSU

$ 6I EA : 6J K I
: 6I DA6<: - a]ReVRf & -'/ & O

$ 0@0< 0 4 /0
= :

- @L 3 ( ?
!>
D9: ; L6G K I
DG 9 DA 6<: - L= & )'1 *'+ O
, C X

/ : K G : G 8 : G IB :
: H : DK N > 0 cc & .*) & _d
- K 3 ( ?6( L
/ : K G : G 8 : G 8 <:
: H : DK N =6G * cc & ** & v<
U/ ?(U Z H
(U0
- : 6@G K G : G 8 : G 8 G: CI
: : H : DK N J G ( cc^ & -* & 9

*#
'
0 1! 6C9 ' " 0 !
+#
- JH L> =0 a A >: 9 7N, C%^Ri
A: 9I > I
B
,#
/ : E: IIK 6K 6C8 8 H H699>>
>> : 6A =: 6J : IDC6A DH : =6I 6C 6AJ6I .#
EDL: GA H HI 8 7: 8 8 A : 9 6H) 9O6' 9K$.#
-#
9I
&L="B= 9> " Z H 3 =<]Z_\ 3 3 aVR\ 5O";K#=LL 1 C5MC%^Ri > CI8 A H9: 6C9 => H9: H I =
9: > 6ADL > <= > L>8
.#
`"Vc# > 6 ;M: 9 8
H > I
6E686C8 I <> : HI H : HDG 9 : C: G 6H
> : =6I K =: 6B I : L=> 3
: =L H >> G D
> GHC< ;DB I 3 =LL'

/#
% `"ec# > 6 ;M: 9 8
H > I
> : =6I K =: 6B =6G C< >
6E686C8 I <> : HI H : 8 <> IB : 6H% `dd L=> - =L > GHC< ;DB I - =LL'
A: H >> G D




/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
9?E0'@*+'4*
# : A 0: 9
=4 4 % ,10 : ;0< 4 2 ,<
,>9 0,
) e`e6W", <# ( =6W"- =L , < X & 6)
E6G : I G 0 a
6B :

),' (')
A >: 9 7N DC
H6I
> I
B I :
cVdZdeR_TV
ZH

)''
ZH


(
(' Z H

(,' B H
$ ZVZ * +




#5 * +
)





B H


(''

('' =<




,'




' ('$(
' ), ,' ., ('' (), (,' ( (' ('' ('''
'4 * +
F ( 5A * +
(


< 4 30< 8
! ,B >,9 =09 >> 8 ,74 ;0/,9 .0 & C; : ?> .3,< 0<= 4
;?> ,.> 4 >.=
QeYC<6W"eI# ( =6W"- =L , C X
E6G : I G &$0 a(,
6B : E6G : I G - @L
6B :

('' ,'


3
3
+'
)'. 3




*'
) ZO;4 *
) +




3
)'+
#5 * +





('$(
)'*
)'
3
)').


)')+
)')*
(' 3
HC
> : A:


3
$)
(' '
('$, ('$+ ('$* ('$) ('$( ' , (' (, )' ),
*W * +
= ( 5A * +
(


/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
9?E0'@*+'4*
& C; : ?> .3,< 0<= 4
;?> ,.> 4 >.= & C; /< 9 = ?< : 9 = ,> < 4 > .0
,4 : .0 > 0 0== ,9
( =6W"- =L , C X + =L"`_#6W"( = , C X
E6G : I G - @L
6B : E6G : I G - @L
6B :

), ,


3
3
)' 3 +
3
3




(, *



& 5A!VU" * G
#5 * +




3





3

(' )


3
3
3

, ( 3
3




' '
' , (' (, )' ), ' , (' (, )' ), *'
( 5A * +
( #5 * +



< 9 = ?< : 9 = ,> < 4 > .0
,4 : .0 > 0 0== ,9 & C; >,9 = .3,< 0<= 4
< 10< ,.> 4 >.=
+ =L"`_#6W", C ( = - @L 3 ( =6W"- @L - =L6+)O
E6G : I G , C
6B :

( ,'


X

'%/ +'




'%- *'
& 5A!VU" F G




#5 * +






eja X
'%+ )'




'%) ('




' '
$-' $)' )' -' ('' (+' (/' ' ) + - / ('
'; * +
F ( 8A * +
(


/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
9?E0'@*+'4*
0
& C; 2,> .3,<20 A /
: < ,< .3,< 0<= 4 : 1 <
,.> 4 >.= 0@0< 0 /4 /0
= :
- @L6W"* XReV ( = EJH 9
A: ( ?6W"- L=#
E6G : I G - ==
6B : E6G : I G , C
6B :

(' (')




X
/

X
3 (
('
3
-
X
( 8A * +




#7 * +
(





X


+
(''



)




$(
' ('
' )' +' -' /' ('' ' '%, ( (%, )
% NHZL * +
9 ( A5 * +
(


@,7 .30 09 0<
,9 2C < 9 = ?< -<
,4 : .0 0,6/: A 9 @: 7,20
>
' 9L6W", [ ( = - == 3 - ;K"=LL#6W", [ ( = B



.'' (','



-''
('''

,''


0,'
( 3@!5AA" * +
" 2A * +




+''
(
8




*''
0''


)''

/,'
(''



' /''
), ,' ., ('' (), (,' $-' $)' )' -' ('' (+' (/'
'Q * +
F 'Q * +
F


/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
9?E0'@*+'4*
>
& C; .,;,.4,9 .0= & C; VYY = : < 09 0<
> 0/ 2C
% 6W"- =L - @L 3 . * % O ' `dd$ W!- =L"
!-



('+ ()



('

('*

/




" VYY * +
! * +




G
;




(') <`dd -



+

(
('




'
(' '
' ('' )'' *'' +'' ,'' -'' ' ('' )'' *'' +'' ,'' -''
( 5A * +
( ( 5A * +
(




/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
9?E0'@*+'4*

014 44 9 : 1 /4 /0 = 4.34 2 .3,< 0<= 4
9 >: : A> 9 ,.> 4 >.=




/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
9?E0'@*+'4*

# & " " ?>4 0=
79




!> : CHDCH> B B > =: H
B > C C8



/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
9?E0'@*+'4*


( ! & *
# # $# #$!$ &

(# % #*
"
+ # # $# #$!$ &
!! ' & %
& )


! & ! " %
Legal Disclaimer % $ & % $ ' % # # $ & # %
# % '
The % $ # # given in this document shall in!no event be regarded as a guarantee of conditions or
information % #$% $ % # $! % % * ) $ # %$ ' # * %*!
characteristics. With
respect #any examples % hints given herein, any typical values stated herein
' & $ $% % # # * to % # # or ! ! % % '
and/or any information regarding $the*application of% $ device, Infineon Technologies hereby disclaims
$ # * $ ( ## the % $ *
any and( % &warranties (and liabilities of # kind, including ! # ! #%* # %$
& all % % % ## % $ any % % %& without limitation, warranties of non-
infringement #%*intellectual property rights of any third party.
* % # !
of

Information
,?8@A>3C<@?
For further information on technology, delivery terms and conditions and prices, please contact the
# & #% # # % % * ' #* % # $ % $ ! # $ ! $
nearest Infineon Technologies Office ( www.infineon.com ).
% % % # $% $ ( ( (

Warnings
23A? Due to technical requirements, components may contain dangerous substances. For information on
the % in question, please %$
& %types # " & # %$ ! contact* the% # & $ $& $% Technologies % Office. The Infineon
nearest Infineon $ # #

Technologies "component $ % % % in this Data Sheet may be $ in life-support devices or systems
% %*! $ & $% ! described # $% used
and/or automotive, aviation%$and* aerospace applications or systems only( %
$ ! & $ $& ! ! #% ' $ # $*$% $ * with the express written
% ) ! # $$of #%% ! ! # Technologies, if a failure of & # components can reasonably be expected to
approval ( Infineon ' $ such $& ! %$
cause the failure of that life-support, automotive, aviation' #aerospace device or system or to affect
# $ * ) ! % % & $ % & # % % $& ! ! #% and $*$% # % %
the $ %* # %' $$ % of% that device or system.! Life support #devices or systems are intended to be
% safety or effectiveness ' # $*$% $& ! #% ' $ $*$% $ #
implanted in ! human % & or to support$& ! ! #% maintain % sustain and/or protect human life. If
% % the % body * # % and/or
# and $& $%
they fail, it is & to assume$that $the health $$& the% % % or other persons# may be endangered.

# ! # % % reasonable % * % # % of user % % & $
# % # ! #$ $ * #




/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
Data sheet erratum
PCN 2009-134-A

New package outlines TO-247


1 New package outlines TO-247
Assembly capacity extension for CoolMOSTM technology products assembled in lead-free package
PG-TO247-3 at subcontractor ASE (Weihai) Inc., China (Changes are marked in blue.)




Figure 1 Outlines TO-247, dimensions in mm/inches

Final Data Sheet Erratum Rev. 2.0, 2010-02-01