Text preview for : ipw60r125cp_rev2[1].2_pcn.pdf part of Infineon ipw60r125cp rev2[1].2 pcn . Electronic Components Datasheets Active components Transistors Infineon ipw60r125cp_rev2[1].2_pcn.pdf



Back to : ipw60r125cp_rev2[1].2_pcn | Home

9?E-'@(),4?

a
4VVS=>A # : A 0<& < == : <
,9 4 >
# < /?.>% ?8 8 ,<
: C
7LHZ[XLY
- !0 1 [%^Ri /.) O
V )DL: H ;D; : GI/ HGiJX
I > G
B >
+ =L"`_#%^Ri )'*+. "
V 2 A 6 A <6I 8 <:
I DL
G : =6G
* X%eja ., _<
V " MI: B : 9K G : 9
G 9I 6I

V % > E: 6@8 G: CI8
<= JG 6E67>I
AN
>

V . J >> 9
68DG C< I ' " !"
6A :
; 8 9> D
V- 7
;: : A 69 EA > / D% 0 8 EA
G : 6IC< DB >6CI I@&MH+-0&,&*



::7 " % # 4=
! = ;0.4 7 /0=29 0/ 1: <
,7C 4

V% 6G H I => I
9 L>8 C< DEDA : H ; 0 : G : G6C9 1: A 8
D<> DG K : DB




B_WL ?HJRHNL " < 4 2 : /0
/0<9 =HXRPUN

BIP/)K*+.
! ,B4 ?8 < 4 2= 6I, [ Y J : H DI G H H 8> 9
8 ,>9 CA H =: L> : E: > :
;

?HXHTLZLX A_TIVS 4VUKPZPVUY DHS[L CUPZ

DCICJ H9G C 8 G: CI
> DJ 6> J G (= , < Y +. 9

, < Y */

6> J G +#
- JH 9 9G C 8 G: CI
A: ( =%af]dV , < Y 1+

: A:
K 6C8 : C: G HC 6A =: ' 9L ( = - == 3 0)1 ^C

K 6C8 : C: G G E: IIK 1 9K+#%,#
6A =: > : ' 9K ( = - == 3 *'+

K 6C8 8 G: CI G E: IIK 1 9K+#%,#
6A =: J G : >> : ( 9K ** 9

* , 0 # " 1 92 (U G <<: 9C: H
(U1 J H U2 (U
(U1 - =L 3 .) O(_d

: DJ 8 DA
$ 6I H G : K I
6<: - @L deReZT v+) O

/ % O v,)

- DL: G9> HE6IDC
H> > ) e`e , < Y +)1 P

, E: G > 6C9 HDG
6IC< : 6I G
I 6<: I B E: G J : , [ , deX
u<

* DJ > I F :
CIC< DG J * 6C9 * H G LH
8: /) GT^



/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
9?E-'@(),4?

! ,B4 ?8 < 4 2= 6I, [ Y J : H DI G H H 8> 9
8 ,>9 CA H =: L> : E: > :
;


?HXHTLZLX A_TIVS 4VUKPZPVUY DHS[L CUPZ

DCICJ H9> ; L6G 8 G: CI
> DJ D9: DG 9 J G (L */ 9
, < Y
!> A: J G +#
D9: EJH 8 G: CI ( L%af]dV 1+

/ : K G : 9> 92 (U -#
: H D9: (U1 U2 (U
(U1 *. O(_d




?HXHTLZLX A_TIVS 4VUKPZPVUY DHS[LY CUPZ

TPU% Z_W% TH^%

& 30< ,7.3,< 0<= 4
8 ,.> 4 >.=

1=: G 6A : HH6C8 ? C8DC
8 :
B G >I : J I > 6H + eYC< & & )'/ D(P

1=: G 6A : HH6C8 ? C8DC

B G >I : J I >
+ eYC9 ]VRUVU & & /+
R^SZV_e

0 DA GC< I B E: G J :
9: > : 6I G B B >
C
, d`]U & & +/) u<
L6K H 9: GC< DCA 6A
: DA > DL: :
N A 9 6IA 69H ;DB 8 : ; H
G 6H DG



7 <.,7.3,< 0<= 4 6I, [ Y J : H DI G H H 8> 9
0.>4 ,.> 4 >.= CA H =: L> : E: > :
;


% > 4 .3,< 0<= 4
,>. ,.> 4 >.=

!G C
H G : 7G 6@
6> DJ 8 : 9DLC K I
DA6<: - ";K#=LL - @L 3 ( = [ /)) & & O

: =G =DA DA 6<:
$ 6I I : H 9 K I - @L"eY# - =L6- @L ( = B +'. , ,'.

- =L 3 - @L 3
5: G <6I K I
D : DA6<: 9G C 8 G: CI
6> J G ( =LL & & + w9
, [ Y

- =L 3 - @L 3
& +) &
, [ Y

: DJ 8 : 6<: 8 G: CI
$ 6I
H G : A 6@ JG ( @LL - @L 3 - =L 3 & & *)) _9

- @L 3 ( =
!G C
H G : DC
H6I G HH6C8
6> DJ 8 I : : >I : + =L"`_# & )'** )'*+. "
, [ Y

- @L 3 ( =
& )',) &
, [ Y

$ 6I G HH6C8
: : >I : +@ 6>
/ * % O DE: C 9G C

"




/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
9?E-'@(),4?

?HXHTLZLX A_TIVS 4VUKPZPVUY DHS[LY CUPZ
TPU% Z_W% TH^%

C9 ,8 4 .3,< 0<= 4
. ,.> 4 >.=

&CEJ 8
I 6E686C8
> :
I % Zdd - @L 3 - =L 3 & +.)) & a?

, J EJ 8 I
> :
I I 6E686C8 % `dd / * % O & *+) &
; I:
> > :
I I I 6E686C8 : C: G
" ;: 8K DJ EJ 8
% `"Vc# & **) &
cV]ReVU.# - @L 3 - =L 3
; I:
" ;: 8K DJ EJ 8
> > : >
I I 6E686C8 IB :
I I 3
D
% `"ec# & ,)) &
cV]ReVU/#
1J C
DC 9: A IB :
G 6N > 1 U"`_# & *. & _d

H >
/ > : IB : 1c - == 3 & . &
- @L 3 ( =
1J C
D; 9: A IB :
G ; 6N > 1 U"`WW# + @ " & .) &

# 6AIB :
A> 1W & . &

:
$ 6I <:
=6G =6G I GH> H
68 > I8
:

$ 6I I H G : 8 <:
: D DJ 8 =6G * Xd & *+ & _<

$ 6I I 9G C 8 <:
: D 6> =6G * XU - == 3 ( = & *1 &

$ 6I 8 <: I 6A
: =6G DI *X - @L I 3
D & ., 0)

$ 6I EA : 6J K I
: 6I DA6<: - a]ReVRf & .') & O


$ 0@0< 0 4 /0
= :

- @L 3 ( ?
!>
D9: ; L6G K I
DG 9 DA 6<: - L= & )'2 *'+ O
, [ Y

/ : K G : G 8 : G IB :
: H : DK N > 1 cc & -,) & _d
- K 3 ( ?6( L
/ : K G : G 8 : G 8 <:
: H : DK N =6G * cc & 2 & w<
U0 ?(U [ H
(U1
- : 6@G K G : G 8 : G 8 G: CI
: : H : DK N J G ( cc^ & -+ & 9



*#
'
0 1! 6C9 ' " 0 !
+#
- JH L> =1 a A >: 9 7N, [%^Ri
A: 9I > I
B
,#
/ : E: IIK 6K 6C8 8 H H699>>
>> : 6A =: 6J : IDC6A DH : =6I 6C 6AJ6I /#
EDL: GA H HI 8 7: 8 8 A : 9 6H) 9O6' 9K$/#

-#
9I
&L=6B= 9> [ H 3 =<]Z_\ 3 3 aVR\ 5O";K#=LL 1 [5M[^Ri > CI8 A H9: 6C9 => H9: H I =
9: > 6ADL > <= > L>8
.#
% `"Vc# > 6 ;M: 9 8
H > I
> : =6I K =: 6B I :
6E686C8 I <> : HI H : HDG 9 : C: G 6H% `dd L=> - =L > GHC< ;DB I - =LL'
> G D
/#
% `"ec# > 6 ;M: 9 8
H > I
> : =6I K =: 6B =6G C< >
6E686C8 I <> : HI H : 8 <> IB : 6H% `dd L=> - =L > GHC< ;DB I - =LL'
A: H >> G D




/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
9?E-'@(),4?
# : A 0: 9
=4 4 % ,10 : ;0< 4 2 ,<
,>9 0,
) e`e6W", <# ( =6W"- =L , < Y & 6)
E6G : I G 1 a
6B :

),' (')
A >: 9 7N DC
H6I
> I
B I : [H
cVdZdeR_TV

[H

)''
[H


B H
('(
B H
(,'
$ ZVZ * +




=<



#5 * +
)





(''

(''


,'




' ('$(
' +' /' ()' (-' ('' ('( (') ('*
'4 * +
F ( 5A * +
(


< 4 30< 8
! ,B >,9 =09 >> 8 ,74 ;0/,9 .0 & C; : ?> .3,< 0<= 4
;?> ,.> 4 >.=
. eYC<6W"1 I# ( =6W"- =L , [ Y
E6G : I G &$1 a(,
6B : E6G : I G - @L
6B :

('' ()'


(',
3 3
3
)'.
0'


.,
) ZO;4 *
) +




)'+ 3
#5 * +




$(





(' -'
)'*
3

)'). +,

3
)')+ *'

)')* 3
(,
HC
> : A: 3

$)
(' '
('$, ('$+ ('$* ('$) ('$( ('' ' , (' (, )'
*W * +
= ( 5A * +
(


/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
9?E-'@(),4?
& C; : ?> .3,< 0<= 4
;?> ,.> 4 >.= & C; /< 9 = ?< : 9 = ,> < 4 > .0
,4 : .0 > 0 0== ,9
( =6W"- =L , [ Y + =L"`_#6W"( = , [ Y
E6G : I G - @L
6B : E6G : I G - @L
6B :

,' '%,
3 3 3 3 3 3 3
3

+' '%+
3 3

3

3
*' '%*



& 5A!VU" * G
#5 * +





3

)' '%)


3


(' '%(




' '
' , (' (, )' ' (' )' *' +' ,'
( 5A * +
( #5 * +



< 9 = ?< : 9 = ,> < 4 > .0
,4 : .0 > 0 0== ,9 & C; >,9 = .3,< 0<= 4
< 10< ,.> 4 >.=
+ =L"`_#6W", [ ( = - @L 3 ( =6W"- @L P =Ll7+l( =l+ =L"`_#^Ri
-
E6G : I G , [
6B :

'%+ ()'

Y




'%*

/'
& 5A!VU" F G




#5 * +





'%)
Y


eja
+'

'%(




' '
$-' $)' )' -' ('' (+' (/' ' ) + - / ('
'Q * +
F ( 8A * +
(


/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
9?E-'@(),4?
0
& C; 2,> .3,<20 A /
: < ,< .3,< 0<= 4 : 1 <
,.> 4 >.= 0@0< 0 /4 /0
= :
- @L6W"* XReV ( = EJH 9
A: ( ?6W"- L=#
E6G : I G - ==
6B : E6G : I G , [
6B :

(' (')
Y
0


/ Y
3 3 Y
Y
.
(
('
-
( 8A * +




#7 * +
(





,

+
(''
*

)


(

$(
' ('
' (' )' *' +' ,' -' ' '%, ( (%, )
% NHZL * +
9 ( A5 * +
(


@,7 .30 09 0<
,9 2C < 9 = ?< -<
,4 : .0 0,6/: A 9 @: 7,20
>
' 9L6W", [ ( = - == 3 - ;K"=LL#6W", [ ( = B



/'' .''




-'' --'
( 3@!5AA" * +
(
" 2A * +
8




+'' -)'




)'' ,/'




' ,+'
)' -' ('' (+' (/' $-' $)' )' -' ('' (+' (/'
'Q * +
F 'Q * +
F


/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
9?E-'@(),4?
& C; .,;,.4,9 .0=
> & C; : = = : < 09 0<
= > 0/ 2C
% 6W"- =L - @L 3 / * % O ' `dd$ W!- =L"
!-



(', )'




('+ (-




('* ()



" VYY * +
! * +
;




G
(') /
<`dd




(
(' +




'
(' '
' ('' )'' *'' +'' ,'' ' ('' )'' *'' +'' ,'' -''
( 5A * +
( ( 5A * +
(




/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
9?E-'@(),4?
014 44 9 : 1 /4 /0 = 4.34 2 .3,< 0<= 4
9 >: : A> 9 ,.> 4 >.=




/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
9?E-'@(),4?

# & " " ?>4 0=
79




/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
9?E-'@(),4?


( ! & *
# # $# #$!$ &

(# % #*
"
+ # # $# #$!$ &
!! ' & %
& )

Legal Disclaimer
! & ! " %
The information given " this document shall #in no event be regarded as a guarantee of conditions or
! # % # in $ # " % ! ! " $ ! #
characteristics. With respect to any examples "or! hints given ! ( #( typical values stated herein
# " ! ! # !"# " # ! " # # ( ' #" % herein, any
and/or any information regarding# !application of #device, Infineon Technologies hereby disclaims
% $ " "# # ! ! ( ! the ! # the # %
any and all " ! ( and liabilities of & any # " including without limitation, warranties of non-
warranties " " ( !! kind, # " (
infringement$ of intellectual property rights of any# third party. ! !#( ! #"
$ & # # # # & !! # " ! # #$
( # ! !#(
Information
For further information on technology, delivery terms and conditions and prices, please contact the
,?8@A>3C<@?
nearest ! ! #Technologies Office!( # ! " # " ). ! " "

! $ !# Infineon # ( % (www.infineon.com).
# # # ! "# "
Warnings
"hhh'Z_WZ_V`_'T`^#'
Due to technical requirements, components may contain dangerous substances. For information on
23A? the types in question, please contact the nearest Infineon Technologies Office. The Infineon
$ # # ! $ ! #" #" ( # ! $ " "$ "# "
! ! #
Technologies component described in this Data Sheet may be used in life-support devices or systems
# #( " $ "# " # # # ! "# "
and/or automotive, aviation and aerospace applications or systems only with the express written
" #" ( $ " "$ !# % " ! "("# " ( & #
approval of Infineon Technologies, if a failure of such components can reasonably be expected to
# ' ! "" & !## ! % " $ ! "$ #"
cause the failure of that life-support, automotive, aviation and aerospace device or system or to affect
! " ( ' # # $ " # $ ! # # "$ !# % ! "("# ! # #
the " #( ! or effectiveness # that device or system.!#Life support devices or systems are intended to be
#
safety #% "" # of % ! "("# "$ % " ! "("# " !
implanted inthe human body or to( support and/or maintain and"$ "#
# # # # $ ! # "$ !# ! #
sustain and/or protect human life. If
they !fail, # is reasonable#to(assume!that the health of # # # or other persons may be endangered.
!
it # $ # " " # ""$ the user # # $ " !
! # ! !" " ( !




/ : K
E6<:



U q fx sty y sj | ufh flj in sx
j j j mj p rj n fw h in y U H S 755>2
tsx h tw sl t 6892
F
Data sheet erratum
PCN 2009-134-A

New package outlines TO-247


1 New package outlines TO-247
Assembly capacity extension for CoolMOSTM technology products assembled in lead-free package
PG-TO247-3 at subcontractor ASE (Weihai) Inc., China (Changes are marked in blue.)




Figure 1 Outlines TO-247, dimensions in mm/inches

Final Data Sheet Erratum Rev. 2.0, 2010-02-01