Text preview for : A1000_Schematics full_BW_L3_Iss.pdf part of Motorola A 1000 Schematics, PCB,RF board layouts. RAR file contains four PDF files at approx. 1.6 Mb deflated



Back to : A1000 Schematics PCB.rar | Home

1

2

3
Engineer:

4

MOTOROLA CONFIDENTIAL PROPRIETARY

Drawn by:

Baseband
BB_CLK_13M BB_CLK_15_36M
BB_CLK_13M BB_CLK_15_36M

RF
BB_CLK_13M BB_CLK_15_36M

R&D CHK: DOC CTRL CHK: MFG CTRL CHK: QA CHK: Changed by:

TITLE:

Size:

A
1 2 3 4 5 6 7 8

SH7500 SHIELD

REV: Date:

Drawing Number:

Page:

Of: Time:

GND_RF

WB_STANDBY MB_STANDBY WB_VCO_EN MB_VCO_EN

WB_STANDBY MB_STANDBY WB_VCO_EN MB_VCO_EN

WB_STANDBY MB_STANDBY WB_VCO_EN MB_VCO_EN

SH200 SHIELD 1 2 3 4 5 6 7 8

BBIF_CLK BBIF_RX[7:2] BBIF_RX_FRM BBIF_TX[7:0] BBIF_TX_FRM BCLKR BDR BFSR BCLKX BDX BFSX

BBIF_CLK BBIF_RX[7:2] BBIF_RX_FRM BBIF_TX[7:0] BBIF_TX_FRM BCLKR BDR BFSR BCLKX BDX

BBIF_CLK BBIF_RX[7:2] BBIF_RX_FRM BBIF_TX[7:0] BBIF_TX_FRM BCLKR BDR BFSR BCLKX BDX

GND_RF

B
SH30 SHIELD 10 5 6 7 8 9 11 1 2 3 4

WB_SPI_CLK WB_SPI_MOSI WB_SPI_MISO WB_HARMONY_CS WB_PRIMSYN_CS WB_ONELIFE_CS

WB_SPI_CLK WB_SPI_MOSI WB_SPI_MISO WB_HARMONY_CS WB_PRIMSYN_CS WB_ONELIFE_CS

WB_SPI_CLK WB_SPI_MOSI WB_SPI_MISO WB_HARMONY_CS WB_PRIMSYN_CS WB_ONELIFE_CS

GND_RF

MB_SPI_CLK MB_SPI_MOSI MB_SPI_MISO MB_HARMONY_CS MB_PRIMSYN_CS

MB_SPI_CLK MB_SPI_MOSI MB_SPI_MISO MB_HARMONY_CS MB_PRIMSYN_CS

WB_RX_SLOT WB_RX_ACQ WB_RX_ON

WB_RX_SLOT WB_RX_ACQ WB_RX_ON

MB_RX_ON MB_RX_ACQ MB_RX_SLOT DMCS TX_PRE TX_RAMP TX_SLOT TX_RX_ERROR PS_CLK_EN PS_CLK_BT PS_CLK_AP PS_CLK_GPS AOC_PWR_UP PCAP_RESET_LV* RF_RESET* TEMP_SENSE

MB_RX_ON MB_RX_ACQ DMCS TX_PRE TX_RAMP TX_SLOT

MB_RX_SLOT

o M

i b

h lc

s ip

MB_SPI_CLK MB_SPI_MOSI MB_SPI_MISO MB_HARMONY_CS MB_PRIMSYN_CS

WB_RX_SLOT WB_RX_ACQ WB_RX_ON

MB_RX_ON MB_RX_ACQ MB_RX_SLOT DMCS TX_PRE TX_RAMP TX_SLOT TX_RX_ERROR PS_CLK_EN PS_CLK_BT PS_CLK_AP PS_CLK_GPS AOC_PWR_UP PCAP_RESET_LV* RF_RESET* TEMP_SENSE

C

TX_RX_ERROR PS_CLK_EN PS_CLK_BT PS_CLK_AP PS_CLK_GPS AOC_PWR_UP PCAP_RESET_LV* RF_RESET* TEMP_SENSE

SH1DNP SHIELD

1

2

3

4

5

GND_RF

6

F1 CE_FIDUCIAL 1 TEST 1

F2 CE_FIDUCIAL TEST

D
11 12 13 14 15 16 1 2 3 4 5 6 7 8 9 10

SH400 SHIELD 11 12 13 1 2 10 14 15 16 17 18 19 20 21 3 4 5 6 7 8 9

SH300 SHIELD 11 12 13 14 15 10 16 1 2 17 18 19 20 21 22 23 3 4 5 6 7 8 9

SH3000 SHIELD

F3 CE_FIDUCIAL 1 TEST 1

F4 CE_FIDUCIAL TEST

GND_RF

GND_RF

GND_RF

Schematics & manuals on www.mobilchips.com ___Mobilchips__

1

2

3

4

5

6

7

8

TEMP_SENSE

A

RAT_ASPI{RAT_ASPI_CLK,RAT_ASPI_DW,RAT_ASPI_CE} RAT_ASPI_CE RAT_ASPI_CLK RAT_ASPI_DW

A
RAT_ASPI_CE RAT_ASPI_CLK RAT_ASPI_DW 9E_VREFDET 9E_VDET

WB_TX_IQ{WB_TX_I,WB_TX_IX,WB_TX_Q,WB_TX_QX}

WB_TX_I WB_TX_IX WB_TX_Q WB_TX_QX

WB_TX_I WB_TX_IX WB_TX_Q WB_TX_QX

TEMP_SENSE

TX_FE{RAT_VCO_EN,TX_EN,RAT_VCA_AOC,TX_WB_EN,5W_VLD,WB_PA_VBA1,WB_PA_VBA2,MB_PA_VBA,TX_EN_2V7,9E_VMODE,HB_EN,LB_EN,TEMP_SENSE_EN}

TRANSMITTER
WB_PA_VBA1 WB_PA_VBA2 MB_PA_VBA

WB_PA_VBA1 WB_PA_VBA2 MB_PA_VBA TX_WB_EN 5W_VLD LB_EN HB_EN TX_EN_2V7 9E_VMODE TEMP_SENSE_EN RAT_VCA_AOC TX_EN RAT_VCO_EN WB_TX GSM_TX DCS_PCS_TX

PS_CLK_GPS PS_CLK_EN PS_CLK_BT PS_CLK_AP

PS_CLK_GPS PS_CLK_EN PS_CLK_BT PS_CLK_AP

PS_CLK{PS_CLK_EN,PS_CLK_BT,PS_CLK_GPS,PS_CLK_AP} TX_WB_EN INT{RF_RESET*,MB_STANDBY,WB_STANDBY} 5W_VLD SPI_WB{WB_ONELIFE_CS,WB_PRIMSYN_CS,WB_SPI_CLK,WB_SPI_MOSI} LB_EN HB_EN TX_EN_2V7 9E_VMODE TEMP_SENSE_EN RAT_VCA_AOC

9E_VDET 9E_VREFDET

B
RAT_ASPI_CLK RAT_ASPI_DW RAT_ASPI_CE WB_TX_I WB_TX_IX WB_TX_Q WB_TX_QX

RAT_ASPI_CLK RAT_ASPI_DW RAT_ASPI_CE RAT_VCO_EN WB_TX_I WB_TX_IX WB_TX_Q WB_TX_QX TX_EN

B

PS_CLK_RAT 9E_DCS_PCS

HARMONY
RF_RESET* MB_STANDBY WB_STANDBY WB_ONELIFE_CS WB_PRIMSYN_CS WB_SPI_MOSI WB_SPI_CLK WB_SPI_MISO WB_HARMONY_CS RF_RESET* MB_STANDBY WB_STANDBY WB_ONELIFE_CS WB_PRIMSYN_CS WB_SPI_MOSI WB_SPI_CLK WB_SPI_MOSI WB_SPI_CLK RF_RESET* MB_STANDBY WB_STANDBY

RF_RESET* MB_STANDBY WB_STANDBY

WB_SPI_MOSI WB_SPI_CLK WB_SPI_MISO WB_HARMONY_CS

TEMP_SENSE_EN RAT_VCO_EN TX_EN RAT_VCA_AOC TX_WB_EN 5W_VLD WB_PA_VBA1 WB_PA_VBA2 MB_PA_VBA TX_EN_2V7 9E_VMODE HB_EN LB_EN FEM_V1 FEM_V2 FEM_V3 FEM_V4

TEMP_SENSE_EN RAT_VCO_EN TX_EN RAT_VCA_AOC TX_WB_EN 5W_VLD WB_PA_VBA1 WB_PA_VBA2 MB_PA_VBA TX_EN_2V7 9E_VMODE HB_EN LB_EN FEM_V1 FEM_V2 FEM_V3 FEM_V4 FEM_CTL{FEM_V1,FEM_V2,FEM_V3,FEM_V4}

9E_GSM

TX_RAMP TX_SLOT TX_PRE AOC_PWR_UP BBIF_TX_FRM BBIF_TX[7:0]

TX_RAMP TX_SLOT TX_PRE AOC_PWR_UP BBIF_TX_FRM BBIF_TX[7:0] BBIF_CLK BBIF_RX[7:2] BBIF_RX_FRM WB_RX_ON WB_RX_ACQ WB_RX_SLOT BB_CLK_15_36M BB_CLK_13M MB_RX_SLOT MB_RX_ACQ MB_RX_ON BFSR BCLKR BDR MB_SPI_MISO MB_HARMONY_CS MB_SPI_MOSI MB_SPI_CLK

PS_CLK MBC_EN1 MBC_EN2
WB_RX_IQ{WB_RX_I,WB_RX_IX,WB_RX_Q,WB_RX_QX}

C

BBIF_CLK BBIF_RX[7:2] BBIF_RX_FRM WB_RX_ON WB_RX_ACQ WB_RX_SLOT BB_CLK_15_36M BB_CLK_13M MB_RX_SLOT MB_RX_ACQ MB_RX_ON BFSR BCLKR BDR MB_SPI_MISO MB_HARMONY_CS MB_SPI_MOSI MB_SPI_CLK

WB_RX_I WB_RX_IX WB_RX_Q WB_RX_QX WB_DCOC_I WB_DCOC_IX WB_DCOC_Q WB_DCOC_QX WB_AGC0 WB_AGC1 WB_AGC2 WB_AGC3 WB_AGC4 WB_LNA_BYP WB_SOS1 WB_SOS2 WB_RX_EN WB_CMODE WB_TRK_CLK

WB_RX_I WB_RX_IX WB_RX_Q WB_RX_QX WB_DCOC_I WB_DCOC_IX WB_DCOC_Q WB_DCOC_QX WB_AGC0 WB_AGC1 WB_AGC2 WB_AGC3 WB_AGC4 WB_LNA_BYP WB_SOS1 WB_SOS2 WB_RX_EN WB_CMODE WB_TRK_CLK

WB_DCOC{WB_DCOC_I,WB_DCOC_IX,WB_DCOC_Q,WB_DCOC_QX}

SPI_WB{WB_ONELIFE_CS,WB_PRIMSYN_CS,WB_SPI_CLK,WB_SPI_MOSI}

WB_AGC{WB_AGC0,WB_AGC1,WB_AGC2,WB_AGC3,WB_AGC4}

o M

i b

h lc

s ip
WB_STANDBY MB_STANDBY

FEM_Q_BAND
TX_RX_ERROR

TX_RX_ERROR

DCS_PCS_TX GSM_TX WB_TX GSM_RX DCS_RX PCS_RX WB_RX

FEM_V1 FEM_V2 FEM_V3 FEM_V4

FEM_V1 FEM_V2 FEM_V3 FEM_V4

C

WB_STANDBY MB_STANDBY

WB_ONELIFE_CS WB_PRIMSYN_CS WB_SPI_CLK WB_SPI_MOSI

WB_ONELIFE_CS WB_PRIMSYN_CS WB_SPI_CLK WB_SPI_MOSI WB_RX_I WB_RX_IX WB_RX_Q WB_RX_QX

MBC_EN2 MBC_EN1

WB_RX_I WB_RX_IX WB_RX_Q WB_RX_QX

RX_SYN

PS_CLK PS_CLK_RAT PS_CLK_EN PS_CLK_AP PS_CLK_GPS PS_CLK_BT
PS_CLK_EN PS_CLK_AP PS_CLK_GPS PS_CLK_BT

WB_CTL{WB_LNA_BYP,WB_SOS1,WB_SOS2,WB_RX_EN,WB_CMODE,WB_TRK_CLK} WB_DCOC_I WB_DCOC_IX WB_DCOC_Q WB_DCOC_QX WB_AGC0 WB_AGC1 WB_AGC2

WB_DCOC_I WB_DCOC_IX WB_DCOC_Q WB_DCOC_QX WB_AGC0 WB_AGC1 WB_AGC2 WB_AGC3 WB_AGC4 WB_LNA_BYP WB_SOS1 WB_SOS2 WB_RX_EN WB_CMODE WB_TRK_CLK

9E_DCS_PCS 9E_GSM FEM_V3 WB_RX PCS_RX DCS_RX GSM_RX TCXO_EN TCXO_IN BCLKX DMCS BDX
Y500 TCO-5871 26MHz BCLKX DMCS BDX 22pF C500 3 OUTPUT

SPIMB{MB_PRIMSYN_CS,MB_SPI_CLK,MB_SPI_MOSI}

WB_AGC3

MB_RX_I MB_RX_IX MB_RX_Q MB_RX_QX MB_RX_EN MB_CM_IN MB_TRK_CLK MB_EXC_EN MB_ASPI_CE MB_ASPI_DATA MB_ASPI_CLK

MB_RX_I MB_RX_IX MB_RX_Q MB_RX_QX MB_RX_EN MB_CM_IN MB_TRK_CLK MB_EXC_EN MB_ASPI_CE MB_ASPI_DATA MB_ASPI_CLK

MB_RX_IQ{MB_RX_I,MB_RX_IX,MB_RX_Q,MB_RX_QX}

WB_AGC4 WB_LNA_BYP WB_SOS1 WB_SOS2

MB_CTL{MB_RX_EN,MB_CM_IN,MB_TRK_CLK,MB_EXC_EN}

WB_RX_EN WB_CMODE WB_TRK_CLK

4

MB_ASPI{MB_ASPI_CLK,MB_ASPI_DATA,MB_ASPI_CE}

MB_RX_I MB_RX_IX MB_RX_Q MB_RX_QX MB_RX_EN MB_CM_IN MB_TRK_CLK MB_EXC_EN MB_ASPI_CE MB_ASPI_DATA MB_ASPI_CLK

GND

MB_RX_I MB_RX_IX MB_RX_Q MB_RX_QX MB_RX_EN MB_CM_IN MB_TRK_CLK MB_EXC_EN MB_ASPI_CE MB_ASPI_DATA MB_ASPI_CLK MB_PRIMSYN_CS MB_SPI_CLK MB_SPI_MOSI WB_VCO_EN MB_VCO_EN PCAP_RESET_LV*

VCC

D

C501 .01uF 1

D

V_CONT

GND_RF

GND_RF

REFERENCE OSCILLATOR
TCXO_NDK_NT5032SC_26MHz2.5ppm_2V4

MB_PRIMSYN_CS MB_SPI_MOSI MB_SPI_CLK WB_VCO_EN MB_VCO_EN PCAP_RESET_LV*

MB_PRIMSYN_CS MB_SPI_MOSI MB_SPI_CLK

SPIMB{MB_PRIMSYN_CS,MB_SPI_CLK,MB_SPI_MOSI}

SPIMB{MB_PRIMSYN_CS,MB_SPI_CLK,MB_SPI_MOSI}

MB_PRIMSYN_CS MB_SPI_CLK MB_SPI_MOSI

BDX DMCS BCLKX

BDX DMCS BCLKX

SER_TX{BCLKX,BDX,DMCS}

SER_TX{BCLKX,BDX,DMCS}

2

Engineer
ccl003

COMPANY NAME
Address City TITLE
3GFUN RF
TITLE

Drawn by
Chris Leyh

R&D CHK
E

Size
D
E

DOC CTRL CHK MFG CTRL CHK Changed by
ccl003
1 2 3 4 5

Date Changed
Friday, February 28, 2003

Time
10:20:44 am
6

QA CHK

REV
7

Drawing Number

Sheet
8

of

1

2

3

4

5

6

7

8

HARMONY Sections
A A
VHVIO_2.775V VRF_TX_2.775V VRF_DIG_1.875V VRF_RX_2.775V

C107 1.0uF E103 0 E106 0 E104 0

GND_RF

E105 0

C103 1.0uF

C106 1.0uF

C104 1.0uF

C105 1.0uF GND_RF GND_RF GND_RF

RAT_ASPI_CE RAT_ASPI_CLK RAT_ASPI_DW

GND_RF

70 ohm B 70 ohm

WB_TRK_CLK

B
BB_CLK_15_36M

GND_RF W16 W11 M11 G11 G12 G17 G18 N17 H11 H12 N18 C14 C15 U13 U14 U15 N10 A15 A13 B14 F11 U16 E18 F19 T13 T14 T15 M9 D7 E5 P2 P4 L3 L9 L13 G6 G7 G8 G9 C7 C8 H5 H6 H7 H8 H9 U5 C6 U6 D4 D5 D9 D6 N8 C3 E7 E9 L4 J1 E6 INF_ASPI_DATA_OUT F5 F6 F7 F8 F9

INF_ASPI_IO_OVDD

INF_ASPI_CE_OUT

INF_ASPI_IO_VDD

INF_IO_VDD_A

INF_IO_VDD_B

INF_SM_VCC

INF_VDD

RX_VDD

NC_13

NC_14

NC_15

NC_16

NC_21

NC_22

NC_23

NC_24

NC_25

NC_17

NC_18

NC_26

NC_27

NC_19

NC_20

NC_28

NC_38

NC_39

NC_29

NC_30

NC_31

NC_32

NC_33

NC_34

NC_35

NC_36

NC_10

NC_11

NC_12

RX_SD_VCC_1

RX_SD_VCC_2

TX_AOC_VCC

TX_AMP_VCC

TX_DAC_VCC

SYN_CP_VCC

TX_VAG_VCC

SYN_SF_VCC

RX_DCOC_VCC

TX_SD_VCC

SYN_VDD

TX_VDD

RX_IO_VDD

RX_IO_VCC

NC_37

NC_7

NC_8

NC_2

NC_3

NC_6

NC_9

NC_4

NC_1

NC_5

INF_ASPI_CLK_OUT

RX_WB_TRK_CLK_OUT

SYN_WB_CLK_OUT

SM_OUT_23 SM_OUT_22 SM_OUT_21 SM_OUT_20 SM_OUT_19 SM_OUT_18 SM_OUT_17 SM_OUT_16 SM_OUT_15 SM_OUT_14 SM_OUT_13 SM_OUT_12

G16 F13 F14 F15 E19 E16 D19 E17 D16 D17 C19 D18 C18 C17 B18 C16 D15 E14 D14 F17 A17 B17 A16 B16 R10 T10 R9 T9 M10 T3 T2 R3 R2 R12 L10 K9 L11 K11 J11 N11 P11 1 G3 G4 H3 H4 E12 E13 D12 F12 B10 B11 N5 V1 P5 V2 T5 U4 R7 T7 T8 R8 N9 F4 F3 E4 E3
Dif. Dif. Dif. Dif. Dif. Dif. Dif. Dif. Dif.

RF_RESET* C102 33pF

J5 J4 GND_RF J8 U19 P14 R13 G15

MB_EXC_EN RAT_VCO_EN TX_EN TX_WB_EN LB_EN HB_EN 5W_VLD 9E_VMODE TX_EN_2V7 FEM_V1 FEM_V2 FEM_V3 TEMP_SENSE_EN FEM_V4 WB_RX_EN MB_RX_EN

RST_B_IN TEST_MODE_IN SYN_STANDBY_IN_1 RX_WB_ON_IN RX_WB_ACQ_IN RX_WB_SLOT_IN TX_START_IN TX_PREKEY_IN TX_RAMP_IN TX_SLOT_IN TX_AOC_UPDWN_IN SPI_CE_IN_1 SPI_CLK_IN_1 SPI_DATA_IN_1 SPI_DATA_OUT_1 RX_PAR_FRAME_OUT RX_PAR_DATA_OUT_2 RX_PAR_DATA_OUT_3 RX_PAR_DATA_OUT_4 RX_PAR_DATA_OUT_5 RX_PAR_DATA_OUT_6 RX_PAR_DATA_OUT_7 TX_PAR_FRAME_IN TX_PAR_DATA_IN_0 TX_PAR_DATA_IN_1 TX_PAR_DATA_IN_2 TX_PAR_DATA_IN_3 TX_PAR_DATA_IN_4 TX_PAR_DATA_IN_5 TX_PAR_DATA_IN_6 TX_PAR_DATA_IN_7 SYN_STANDBY_IN_0 RX_MB_ON_IN RX_MB_ACQ_IN RX_MB_SLOT_IN

WB_STANDBY WB_RX_ON WB_RX_ACQ WB_RX_SLOT

TX_PRE TX_RAMP TX_SLOT AOC_PWR_UP WB_HARMONY_CS WB_SPI_CLK WB_SPI_MOSI WB_SPI_MISO BBIF_RX_FRM
BBIF_RX(2)

H18 GND_RF G14 H17 J17 J15 K15 L16 J14 N16 T17 N13 N15 T19 N14 P19 H16
BBIF_TX(0) BBIF_TX(1) BBIF_TX(2) BBIF_TX(3) BBIF_TX(4) BBIF_TX(5) BBIF_TX(6) BBIF_TX(7) BBIF_RX(3) BBIF_RX(4) BBIF_RX(5) BBIF_RX(6) BBIF_RX(7)

BBIF_RX[7:2]

C

BBIF_TX_FRM BBIF_TX[7:0]

K16 L18 L17 H14 H15 K18 J16 K17 J9 T18 N12 U18 K14 J13 N19 K13 B1 B2 B4 B5 J18 H13 GND_RF GND_RF G13 L12 L5 L6 L7 P15 R17 T16

o M
U100 50M23

i b

h lc

s ip

SM_OUT_11 SM_OUT_10 SM_OUT_9 SM_OUT_8 SM_OUT_7 SM_OUT_6 SM_OUT_5 SM_OUT_4 SM_OUT_3 SM_OUT_2 SM_OUT_1 SM_OUT_0 RX_SD_I_P_IN_2 RX_SD_I_M_IN_2 RX_SD_Q_P_IN_2 RX_SD_Q_M_IN_2 RX_SD_VAGI_2 RX_DCOC_Q_M_OUT RX_DCOC_I_M_OUT RX_DCOC_Q_P_OUT RX_DCOC_I_P_OUT RX_AGC_STEP_OUT RX_AGC_OUT_4 RX_AGC_OUT_3 RX_AGC_OUT_2 RX_AGC_OUT_1 RX_AGC_OUT_0 RX_AGC_SOS_IN_0 RX_AGC_SOS_IN_1 TX_Q_M_OUT

WB_RX_I WB_RX_IX WB_RX_Q WB_RX_QX WB_CMODE WB_DCOC_QX WB_DCOC_IX WB_DCOC_Q WB_DCOC_I 1 1 1 WB_LNA_BYP TP126 TP125 TP124 TP123 TP122 TEST_POINT WB_AGC4 TEST_POINT WB_AGC3 TEST_POINT WB_AGC2 TEST_POINT WB_AGC1 TEST_POINT WB_AGC0 WB_SOS1 WB_SOS2 WB_TX_QX WB_TX_Q WB_TX_I WB_TX_IX RAT_VCA_AOC MB_PA_VBA WB_PA_VBA1

C

MB_STANDBY MB_RX_ON MB_RX_ACQ MB_RX_SLOT MB_HARMONY_CS MB_SPI_CLK MB_SPI_MOSI MB_SPI_MISO BFSR BCLKR BDR 1 E100 SHORT FL100 CSPRC032AG E101 2 A1 SHORT A1 E102 2 SHORT 1 A2 A2 1 2 A4 A4 A5 A5

SPI_CE_IN_0 SPI_CLK_IN_0 SPI_DATA_IN_0 SPI_DATA_OUT_0

TX_Q_P_OUT TX_I_P_OUT TX_I_M_OUT TX_AOC_OUT_0

1

B1 B2 B3GND B4 B5

RX_SER_FRAME_OUT RX_SER_CLK_OUT RX_SER_DATA_OUT TX_SER_DATA_IN TX_SER_CLK_OUT TX_SER_FRAME_OUT

TX_AOC_OUT_1 TX_AOC_OUT_2 TX_AOC_OUT_3 TX_AOC_DET_IN TX_AOC_REF_IN RX_AGC_STEPC_OUT_1

BBIF_CLK

B3

SYN_PAR_CLK_OUT SYN_REF_IN SYN_SF_REF SYN_SF_SENS SYN_SF_OUT SYN_CP_OUT_0 SYN_CP_OUT_1 RX_MB_TRK_CLK_OUT TX_AOC_DRVR_GND RX_ASPI_CLK_OUT RX_ASPI_DATA_OUT SYN_MB_CLK_OUT SYN_TIMER_OUT_0 TX_AMP_GND_1 TX_AMP_GND_2 TX_AMP_GND_3 SYN_TIMER_OUT_1 TX_SD_GND_1 TX_SD_GND_2 TX_SD_GND_3 TX_SD_GND_4 TX_SD_GND_5 TX_SD_GND_6 TX_AOC_GND TX_DAC_GND

RX_AGC_STEPC_OUT_0 RX_AGC_STEPB_OUT_1 RX_AGC_STEPB_OUT_0 RX_AGC_STEPA_OUT_1 RX_AGC_STEPA_OUT_0 RX_SD_I_P_IN_1 RX_SD_I_M_IN_1 RX_SD_Q_P_IN_1 RX_SD_Q_M_IN_1 RX_SD_VAGI_1 TX_SD_I_P_IN TX_SD_I_M_IN TX_SD_Q_P_IN TX_SD_Q_M_IN

D
PS_CLK

R130

D
1 WB_PA_VBA2 TP127 TEST_POINT 9E_VDET 9E_VREFDET TP120 TP121 TEST_POINT MBC_EN1 TEST_POINT MBC_EN2 MB_RX_I MB_RX_IX MB_RX_Q MB_RX_QX MB_CM_IN

0 R131DNP 0 C100 4.7uF

C101 4.7uF

L8 N4 P3 J7

1 1

GND_RF

GND_RF GND_RF

INF_ASPI_IO_GND

TX_GND

RX_GND

OPEN_1

OPEN_2

OPEN_3

OPEN_4

OPEN_5

OPEN_6

OPEN_7

OPEN_8

J12

OPEN_9

C111 .01uF

C113 .01uF

W18

W19

A1

A2

F16

U17

W2

C1

D1

D8

D3

E1

RX_ASPI_CE_OUT

RX_SD_GND_1_1

RX_SD_GND_1_2

RX_SD_GND_1_3

RX_SD_GND_1_4

RX_SD_GND_1_5

RX_SD_GND_1_6

RX_SD_GND_2_1

RX_SD_GND_2_2

RX_SD_GND_2_3

RX_SD_GND_2_4

J6

RX_DCOC_GND

INF_IO_GND_B

INF_IO_GND_A

SYN_CP_GND

SYN_SF_GND

RX_IO_GND

SYN_GND

OPEN_10

OPEN_11

OPEN_12

A4

A5

A6

A7

A8

A9

W12

W13

W14

W15

P1

A14

A18

A19

B1

B19

V18

V19

P6

L2

D10

D13

C110 1500pF

R111 1.5K

C112 1500pF

R113 1.5K GND_RF GND_RF BB_CLK_13M

K12

W3

W4

W5

W6

W7

W8

W1

M7

J2

R5

U1

N6

N7

GND_RF

GND_RF

MB_TRK_CLK

MB_ASPI_DATA MB_ASPI_CLK MB_ASPI_CE

Engineer
Chris Leyh

COMPANY NAME
Address City TITLE
HARMONY

Drawn by
ccl003

R&D CHK
E

Size
D
E

DOC CTRL CHK MFG CTRL CHK Changed by
1 1 2 4 3 4

Date Changed
7 5

Time
12:06:46 pm
6

QA CHK

REV
1
7

Drawing Number

Sheet
8

of

ccl003

Thursday, April 17, 2003

1

2

3

4

5

6

7

8

A

A

VRF_RX_2.775V

E300

C303

0

C304

VRF_DIG_1.875V

GND_RF

10pF E301 C302 0

.01uF

GND_RF

C305

VRF_RX_2.775V

C313 0.1uF
GND_RF

10pF C301 C306

.01uF

GND_RF

WB_RX_I 1 TP301 TEST_POINT WB_RX_IX U300 MC13778 C307 1 1 TP302 TEST_POINT WB_RX_Q TP303 TEST_POINT WB_RX_QX
Dif. 2 x 200 Ohms

HHM1526 T610 PORT3 2 CT 4 GND_RF VRF_RX_2.775V
50 Ohms

GND_RF

GND_RF

10pF

10pF

C603 33pF

C617 10uF

C600 .01uF

C601 10pF

C602 .01uF

C605 10pF

C606 .01uF

GND_RF

C300

C610
GND_RF GND_RF GND_RF GND_RF GND_RF GND_RF GND_RF

20

31

37

34

40

GND_RF

42

1

3

8

4.7uF

VCC_LNA1

VCC_LNA2

VCC_LNA3

VCC_MIX

SPI_VCC

VCC_QG

VCC_SF

SF_OUT

VCC_BB

NC

6 NC GND1 5

4.3pF L610 3.9nH C611

SF_BYP BB_I_POS BB_I_NEG WB_ONELIFE_CS WB_SPI_MOSI WB_SPI_CLK 4 5 6 TEST_POINT TP300 WB_SOS1 WB_SOS2 TEST_POINT TP305 TEST_POINT TP306 WB_AGC0 WB_AGC1 WB_AGC2 WB_AGC3 WB_AGC4 WB_LNA_BYP WB_RX_EN WB_VCO_EN 1 1 1 7 21 22 23 24 25 26 27 28 29 30 41 45 47 SPI_CE SPI_DATA SPI_CLK TRK_CLK SOS1 SOS2 TEST_1 TEST_2 AGC_0 AGC_1 AGC_2 AGC_3 AGC_4 LNA_AGC RX_EN VCO_EN SF_EN GND BB_Q_POS BB_Q_NEG C_MODE VAG VB2 DCOC_I_POS DCOC_I_NEG DCOC_Q_POS DCOC_Q_NEG LNA_1_POS LNA_1_NEG LNA_2_POS LNA_2_NEG LNA_3_POS LNA_3_NEG SYN_FB_POS SYN_FB_NEG EXT_LO RX_TUNE

2 9 10 11 12 13 14 15 16 17 18 19 33 32 36 35 39 38 43 44 46 48 1 E302 SHORT

4.7uF

L612 3.3nH 1 PORT1

GND_RF

PCS_RX

GND_RF NC NC NC PORT2 3 4.3pF MB_RX_EN NC

1
Dif. 2 x 200 Ohms

TP304 TEST_POINT C308 WB_CMODE C33

E30 0 T620

HHM1526

GND_RF

C570 11 12 10 5 8 7 4 2 6 9 3 1 I RX_LO_IN VCC4 VCC3 VCC2 VCC1 IX GND2 EN_RX GND1 QX Q

B

WB_TRK_CLK

PORT3 C309 1000pF
GND_RF

B
GND4 VCC10 RX_TUNE SF_BYP SF_OUT TX_TUNE VCC9 SYNTH_FB SYNTH_FBX GND3 49 48 47 GND_RF 46 45 44 43 42 41 40 39 38 GND_RF 37 9E_DCS_PCS 9E_GSM
Dif. 2 x 100 Ohms

2 CT 10pF GND_RF C37 .01uF GND_RF NC 4

C620 13
Dif. 2 x 50 Ohms

10pF

14 15 16

LNA1X LNA1 VCC5 LNA2X LNA2 VCC6 LNA3X LNA3 VCC7 LNA4X LNA4 TEST2 CM_IN BB_IX BB_Q TEST1 BB_QX BB_I SPI_TRK_VDD EN_SPI_CE ALGAE MB 49 PIN

C609 C608 4.7uF
GND_RF

1000pF

GND_RF

6 NC GND1 5

10pF L620 10nH
Dif. 2 x 50 Ohms

Dif. 2 x 100 Ohms

WB_DCOC_I WB_DCOC_IX
50 Ohms

17 18 19 20

23D61 U600

Dif. 2 x 100 Ohms

WB_DCOC_Q WB_DCOC_QX 2.7nH L310 R32 3.3K

L34 2.7nH 2

GND_RF

DCS_RX

C621 1 PORT1 C622 PORT2 3 HHM1515 T630 PORT3 2 CT 4 GND_RF NC 6 NC GND1 5 C631 1 PORT1 PORT2 3 GND_RF 3.6pF 3.6pF L630 27nH C630 GND_RF 10pF

4.7uF

GND_RF

Dif. 2 x 100 Ohms

VCC

C310 2 GND_RF 33pF

R622 U30 MC13820 10 LB_LNA_IN 6 HB_LNA_IN LB_RBIAS 12 4 7 8 9 C31 0.7pF MBC_EN2 MBC_EN1 R33 2K L32 1nH GND_RF GND_RF FEM_V3

21 22
Dif. 2 x 100 Ohms

EN_EXC

C35 OUTPUT1 OUTPUT2 GND3 GND2 GND1 INPUT 2
50 Ohms 50 Ohms

4 C312 0.5pF 6

3

LB_LNA_OUT HB_LNA_OUT

L31 4.7nH

C30 WB_RX 33pF

GND_RF

1

CLK_SPI

1

TEST_POINT TP605

24

TRK_CLK

10K D622

2pF

SPI_DATA

FL300 SAFSD2G14

23

TX_OUT_HI TX_OUT_LO VCC8

25

32

26

27

28

29

30

34

35

49

C311

HB_LNA_E_GND

LB_LNA_E_GND

L312 2.7nH

HB_RBIAS ENABLE GAIN BAND

33

GND_RF

31

36

1pF

MB_EXC_EN MB_TRK_CLK MB_VCO_EN MB_ASPI_CLK MB_ASPI_DATA C607 33pF MB_ASPI_CE
GND_RF

CTGND

GND_RF

33pF

C613 10pF

5

3

1

GND_RF

GND_RF

GND_RF

C
VRF_DIG_1.875V

R501

0

C502 .01uF

o M
VRF_RX_2.775V R500DNP 0 VRF_REF_2.475V C504 1.0uF GND_RF C507 56pF GND_RF C508

i b

h lc

s ip

50 Ohms

L632 3.9nH

GSM_RX

13

11

5

VRF_RX_2.775V

MB_RX_QX
Dif.

L604 100nH

MB_RX_Q MB_RX_IX

GND_RF

MB_CM_IN
Dif.

MB_RX_I

C604 220pF

C615 33pF

C616 33pF

C614 33pF

GND_RF

C
GND_RF GND_RF GND_RF

R564

R565

330 C562 2200pF R570 0 R563 180

330 C565 470pF

C571 220pF

C503 .01uF GND_RF GND_RF C505

C563 3300pF R561

C564 .022uF GND_RF

27K E502 R562 1.5K GND_RF

VRF_HV_5V

GND_RF

0

C506

1.0uF

C510 10pF

C509 1.0uF

33pF

GND_RF

GND_RF VRF_DIG_1.875V R508 PS_CLK_RAT 0 GND_RF GND_RF C567 680pF R566 560

R567

R568

2.2K C569 120pF

3.9K

D

30

35

13

36

43

C530

48

U500 PC13780

56pF

GND_RF BDX C568 .01uF

D
BCLKX

1

CP_TX_IQ_VCC

7

CP_RX_WB_VCC

RX_WB_VCC

TX_IQ_VCC

LOGIC_VCC

XTAL_VCC

CP_MB_VCC

MB_VCC

56pF R530 390 C531
Dif.- 2 x 100 Ohms

TM SD_TX TX_CLK TX_RAMP CLK_NC SPIWB_CE SPIWB_CLK SPIWB_DATA CLK_BT CLK_EN CLK_OUT CLK_GPS SPIMB_DATA SPIMB_CE SPIMB_CLK RESETB STDBY_MB STDBY_WB VCO_EN_MB VCO_EN_WB

32 9 10 11 12 14 15 16 17 18 19 20 22 23 25 24 26 8 4 21 R509DNP GND_RF

DMCS PS_CLK_AP WB_PRIMSYN_CS WB_SPI_CLK WB_SPI_MOSI PS_CLK_EN PS_CLK R512DNP PS_CLK_RAT 0 R511 PS_CLK_BT 56pF 0 390 R560 C560 C561 GND_RF

2 5 6 28 29 27 TCXO_EN 31 GND_RF 34 37 38

CP_TX_IQ PRSC_TX_IQ_POS PRSC_TX_IQ_NEG XTAL_OUT XTAL_IN XTAL_GND TCXO_EN CP_RX_WB PRSC_RX_WB_NEG PRSC_RX_WB_POS PRSC_MB_NEG PRSC_MB_POS DP CP_TX_MB CP_RX_MB

56pF

TCXO_IN R531 R532

0 MB_SPI_MOSI MB_PRIMSYN_CS MB_SPI_CLK PCAP_RESET_LV* MB_STANDBY WB_STANDBY MB_VCO_EN WB_VCO_EN GND_RF 0 R510DNP

56pF

680 C532 47pF

1.5K C533 100pF R533 1K C535 220pF

41 42 44 45 47

GND_RF

C534 4700pF

C511 33pF GND NC1 NC2 NC3 NC4 NC5

R513 PS_CLK_GPS 0 R514DNP

Engineer
Chris Leyh

COMPANY NAME
Address City TITLE
Rx/Syn

33

39

40

GND_RF GND_RF GND_RF

0

Dif.- 2 x 200 Ohms

46

49

3

Drawn by
Chris Leyh

GND_RF

R&D CHK DOC CTRL CHK MFG CTRL CHK

Size
D
E

E

Changed by
ccl003
1 2 3 4

Date Changed
Thursday, April 10, 2003
5

Time
9:50:27 am
6

QA CHK

REV
1.0
7

Drawing Number

Sheet
8

of

1

2

3

4

5

6

7

8

A

A

B

B

2113743N62

M001 SWITCH 0987378K01 VLVIO_1.875V GND2 GND1 OUT IN

ANT03DNP ANT01

C2

0 ANT02 ANT04DNP ANT06DNP L1DNP 100nH C3 100nH C4 100nH 2488090Y25

R1 6pF

L6 1.0nH

U01 NL17SZ16 C10 R01DNP 0 5

C01 0.1uF

GND_RF GND_RF GND_RF

VCC

0.3pF

GND_RF

C
FL30 S0351
50 Ohms

WB_RX

2

OUT GND2 GND1

IN

1

9109674L20

LO10 NEAR FL010
GND_RF GND_RF

o M
FL1 FEM3203_ES6D
50 Ohms

4.7nH

L30

i b
32 33 34 35 GND_21 GND_22 GND_23 GND_24

h lc
36 37 38 GND_RF GND_26 GND_27

s ip

NC TX_RX_ERROR 4 OUT_Y GND IN_A

1 2

GND_BB

50 Ohms

GND_BB

3

C

G2

G1

FEM_V1 FEM_V2 FEM_V3 FEM_V4

Front End Module REF # (000 - 099)

C5 33pF

C6 33pF

C7 33pF

C8 33pF

9109674L21 GND_RF V1 5 4 3 2 11 13 15
50 Ohms

GND_25

4889729N03
8
50 Ohms

V2 V3 V4

2

FL40 CF61A5601 IN GND OUT

3

50 Ohms

WB_TX

ANTENNA PCS_RX DCS_RX GND_11 GND_20 GND_19 GND_18 GND_17 GND_16 GND_15 GND_14 GND_13 GND_12 GND_10 GND_9 GND_8 GND_7 GND_6 GND_5 GND_4 GND_3 EGSM_RX GND_2 GND_1

50 Ohms

DCS_RX
50 Ohms

18 16

50 Ohms

DCS_PCS_TX EGSM_TX

50 Ohms

GSM_RX

GND_RF

2

FL80 74L17 OUT GND IN

22

31

21

19

17

14

12

10

30

29

28

27

26

25

24

23

9

7

6

1

1

PCS_RX

20

WCDMA_TX

1

50 Ohms

DCS_PCS_TX

D
GND_RF

D

GND_RF

3

GSM_TX

Engineer
Chris Leyh

COMPANY NAME
Address City TITLE
Quad Band Front End Module

Drawn by
ccl003

R&D CHK
E

Size
D
E

DOC CTRL CHK MFG CTRL CHK Changed by
ccl003
1 2 3 4 5

Date Changed
Thursday, April 17, 2003

Time
8:25:56 am
6

QA CHK

REV 1
Rev. 1
7

Drawing Number

Sheet
8

of

1

2

3

4

5

6

7

8

VRF_TX_2.775V

B+ BATT+

A

C800 39pF

C802 4.7uF BATT+ C801 C803 0.1uF

GSM/DCS/PCS and WCDMA Transmitters

A

4.7uF GND_RF BATT+ GND_RF

GND_RF

R810 MB_PA_VBA R811

110 BATT+ 110 0.1uF C806 0.1uF GND_RF R801
50 Ohms

C805

9E_GSM C810 39pF C811 39pF 0 R800DNP 0 R802DNP 0

GND_RF

GND_RF

GND_RF

50 Ohms

GND_RF

GND_RF

27

22

21

31

24

8

2

1

7

VCCBIAS

VCC1_2_2

L820

GSM TX
50 Ohms

B

GSM_TX 2.2nH C832 DCS_PCS_TX 6.8pF C820DNP 2.2pF C821 1pF C830 0.75pF C831 3.9pF
50 Ohms

11 17

VCC1_2_1

VCC3_4

VCC3_3

VCC3_2

VCC3_1

VBA2

VBA1

VREF

5

RFOUT1 RFOUT2

RFIN1 RFIN2 CPIN

33 29 10 34 28 15 3 C833 2.2pF LB_EN HB_EN TX_EN_2V7 9E_VMODE GND_RF GND_RF C835DNP 3.3pF
50 Ohms

L834 2.2nH

50 Ohms

9E_DCS_PCS

B

DCS/PCS TX
14 13 VDET VDETREF U800 DURANGO-9E3G

VENL VENH VEND VMODE

5188220Y01
SIG_GND2 SIG_GND1 GND13 GND12 GND11 GND10 GND9 GND8 GND7 GND6 GND5 GND4 GND3 GND2 L831 8.2nH

GND1

GSM/DCS/PCS PA REF# ( 800 - 835)
GND_RF GND_RF GND_RF

23

20

19

18

16

36

35

32

30

26

25

12

9

6

GND_RF 9E_VDET 9E_VREFDET 1 E801 SHORT 2

4

C807 10pF

C808DNP 10pF

GND_RF

GND_RF

GND_RF

C840 10pF

5109768D08

C
TEMP_SENSE C880 .01uF

R880

3

U880 VOUT LM20 GND1 GND0 NC VPOS

4 1

TEMP_SENSE_EN NC C881 .01uF

50 Ohms

470

VRF_TX_2.775V

o M
C402 1.0uF 2 6 20 18 3 4

i b

h lc

s ip
E403 SHORT 1 2

VRF_TX_2.775V

L230 82nH

C230 .01uF VRF_TX_2.775V GND_RF

C
L231 82nH C231

TX_RATTLER _MODULE
E203 1 SHORT 2

5

2

.01uF

0201

BATT+ GND_RF GND_RF GND_RF

VRF_TX_2.775V

RAT_ASPI_CLK

0201
TX_WB_EN E404 SHORT 1 2 5W_VLD R200 39

GND_RF

CLOSE TO MMM5092

E204 1 E201 2 SHORT 1

SHORT 2

0201

RAT_ASPI_DW

Temp Sensor REF # (880 - 889)

C400 4.7uF

C401 1.0uF GND_RF C403 10pF C404 10pF

RAT_VCA_AOC

E205 1

SHORT 2

0201
C200 4.7uF C201DNP 10pF

0201

RAT_ASPI_CE

0603
SHORT E206 1 2 U200 50M21 GND_RF 32 26 4 VCC_MAIN VCC_VCO VCC_DIG VGC GND_RF 16 1 2 3 15 18 19 20 21 23 24 25 E213 2 SHORT 1 0 VRF_TX_2.775V R213 RES PS_CLK_RAT E209 WB_TX_IX E210 WB_TX_I SHORT E211 1 2 RAT_VCO_EN TX_EN

GND_RF

GND_RF

15

17

GND_RF

GND_RF

E207 WB_TX_QX E208 WB_TX_Q SCK SDI CEB TX_EN Q_M_NEG

VCC2

VCC1

VREF

1 ENABLE LOADSW RFIN VIB VBA1

D
WB_TX

14 C408 10 2.2pF L408DNP 1.8nH L409 10nH
50 Ohms

CP_OUT RFOUT

R201 3.3nH
50 Ohms 50 Ohms

D

6

U400 MMM5092

RF_OUT

BATT+ R202DNP 0 MB_PA_VBA2 R203DNP 0 CTGND GND16 GND15 GND14 GND13 GND12

NC NC NC

5 8 12

NC1 NC2 GND_FLAG NC3

5189552N01

5188450M21
MB_PA_VBA1 GND11 GND10 GND5 GND4 GND3 GND2 GND9 GND8 GND7 GND6 GND1

Q_P_POS I_M_NEG I_P_POS VCO_EN REF BIAS_STATE

VBA2 GND7 GND6 GND5 GND4 GND3 GND2 GND1

33

31

30

29

28

27

22

17

10

9

8

7

14

13

12

GND_RF

GND_RF

GND_RF C407 1.0uF R406 680

GND_RF

22

16

13

11

21

19

9

7

11

5

WB_PA_VBA1 GND_RF GND_RF R405 680 WB_PA_VBA2 GND_RF

R214DNP 0

C213DNP 10pF

R212DNP 0

GND_RF C406 39pF C405 39pF

GND_RF

WCDMA PA REF # (400 - 499)
GND_RF GND_RF wlpm11

Engineer Drawn by

COMPANY NAME
Address City TITLE
TRANSMITTER D

E

R&D CHK DOC CTRL CHK MFG CTRL CHK

Size

wlpm11

E

Changed by wlpm11

Date Changed Thursday, April 24, 2003

1:46:09 pm

Time

QA CHK

REV

Drawing Number

Sheet

of

1

2

3

4

5

6

7

8

1

2

3

4

5

6

7

8

VLVIO_1.875V U1160 TC7SZ08FU

VLVIO_1.875V

VLVIO_1.875V U1162 NL17SZ32

5

5

VCC

VCC

GPS_CLK_EN*

1 2

INB INA GND

OUTY

4

1 2

B A GND U1161 Y 4

1 2

IN_B IN_A GND OUT_Y 4

VCC

5

A
BLUETOOTH
VHVIO_2.775V R2013 0 3 1 6 BT_WLAN_COEX1

3

PS_CLK_EN

A

NL17SZ00 3

GND_BB
TP1163 TEST_POINT 1

GND_BB

5

VCC

BT_WLAN_COEX1 BT_WLAN_COEX2
A 4

BT_WLAN_COEX2

ASAP_TX_OMAP ASAP_TX_POG

APPLICATION PROCESSOR HB
CODEC_CLK_EN* CLK_32_768K CLK_13MHZ BLUE_HOST_WAKE
PS_CLK_AP

GND_BB

B0 B1 S

U2100 NC7SB3157 GND

ASAP_TX_PCAP

BLUETOOTHCLK BLUE_CLK_EN* CLK_32_768K BLUE_HOST_WAKE BLUE_WAKE

PS_CLK_BT CODEC_CLK_EN* CLK_32_768K BLUE_HOST_WAKE

Phoenix GPS Block CLK_32_768K

Rainbow / Memory
GND_BB
BP_CLK_EN PS_CLK_EN ASAP_FS ASAP_CLK ASAP_RX ASAP_TX
ASAP_FS ASAP_CLK ASAP_RX ASAP_TX_POG

2

GPS_TX GPS_RX
PS_CLK_GPS

GPS_TX GPS_RX GPS_RESET*

ASAP_FS ASAP_CLK ASAP_RX ASAP_TX

USB_DP USB_DN

BLUE_USB_DP BLUE_USB_DM

BLUE_USB_DP BLUE_USB_DM AP_SPI_CLK AP_SPI_CS
WLAN_SD_CLK WLAN_SD_D3

3

WLAN_MODULE WLAN_CLK WLAN_DAT3 WLAN_DAT2 WLAN_DAT1 WLAN_DAT0 WLAN_CMD WLAN_HOST_WAKE WLAN_RESET* WLAN_BT_COEX2 WLAN_BT_COEX1

GPS_CLK GPS_CLK_EN*

GPS_RESET*

GPS_CTS GPS_TX GPS_RX GPS_RTS GPS_RESET* GPS_INT*

AP_SPI_MISO AP_SPI_MOSI MEDIA_DI GPIO1

WLAN_SD_D0 WLAN_SD_CMD WLAN_HOST_WAKE WLAN_RESET*

USIM_CLK

USIM_CLK USIM_RST USIM_IO

USIM_RST USIM_IO

USIM_CLK USIM_RST USIM_IO

CLK_32_768K FLASH_12V OPTION1 OPTION2

CLK_32_768K FLASH_12V

FLASH_12V

B
BB_CLK_13M BB_CLK_15_36M

B

BB_CLK_13M BB_CLK_15_36M

PCAP2 (Power/Audio)
USIM_EN MUXCTL BATT_IO BATT_FDBK_SW EOLI
USIM_EN MUXCTL BATT_IO BATT_FDBK_SW EOLI TEMP_SENSE

WB_SPI_CLK WB_SPI_MOSI WB_SPI_MISO WB_HARMONY_CS WB_PRIMSYN_CS WB_ONELIFE_CS MB_SPI_CLK MB_SPI_MOSI MB_SPI_MISO MB_HARMONY_CS MB_PRIMSYN_CS BBIF_TX[7:0] BBIF_RX[7:2] BBIF_CLK BBIF_RX_FRM BBIF_TX_FRM

WB_SPI_CLK WB_SPI_MOSI WB_SPI_MISO HAR_SPIWB_CE PS_SPIWB_CE WB_SPIWB_CE MB_SPI_CLK MB_SPI_MOSI MB_SPI_MISO HAR_SPIMB_CE PS_SPIMB_CE BBIF_TX[7:0] BBIF_RX[7:2] BBIF_CLK BBIF_RX_FRAME BBIF_TX_FRAME BCLKR BCLKX BFSR BDR BDX BFSX HAR_WB_RX_ON HAR_WB_RX_ACQ HAR_TX_SLOT HAR_TX_PREKEY TX_RAMP HAR_WB_RX_SLOT HAR_MB_RX_ACQ HAR_MB_RX_SLOT HAR_MB_RX_ON STBY_WB WB_VCO_EN STBY_MB MB_VCO_EN DMCS RF_RESET* AOC_PWR_UP_DOWN TX_RX_ERROR

SYS_BB_CLK AD_TRIG BATT_DETB

SYS_BB_CLK AD_TRIG BATT_DETB

VSIMC_EN MUXCTL BATT_IO BATT_FDBK_SW TEMP_SENSE EOLI DD+ BATT_FDBK PCAP_CLK_IN AD_TRIG BATT_DETB FLASH_12V AUDIO_AMP_EN_POG BB_SPI_MISO BB_SPI_MOSI BB_SPI_CLK PCAP_CS PCAP_INT BP_STDBY AP_STDBY

CLK_32_768K PS_CLK_AP RX0 FSYNC0 BITCLK0 TX

CLK_32_768K

ASAP_TX_PCAP

ASAP_TX_OMAP ASAP_FS ASAP_CLK ASAP_RX

ASAP

ASAP_TX ASAP_FS ASAP_CLK ASAP_RX

TRI_FLASH MMC_CMD MMC_CLK MMC_DAT
MMC_CMD MMC_CLK MMC_DAT0

MMSAP

FSYNC1 BITCLK1 RX1

MMC_CMD MMC_CLK MMC_DAT0 MMC_DAT1 MMC_DAT2 MMC_DAT3

C1100 33pF

GPIO_7 BB_SPI_MISO BB_SPI_MOSI BB_SPI_CLK PCAP_CS PCAP_INT BP_USER_OFF
BP_USER_OFF VLVIO_1.875V

AUDIO_AMP_EN_POG BB_SPI_MISO BB_SPI_MOSI BB_SPI_CLK PCAP_CS PCAP_INT R1064DNP 0

GND_BB

BCLKR BCLKX BFSR BDR BDX BFSX WB_RX_ON WB_RX_ACQ TX_SLOT TX_PRE TX_RAMP

VHOLD_EXT_EN PCAP_RESET* POWER_FAIL USER_OFF RESET_OUT* PCAP_MCU_RESET* MOD WATCHDOG AUDIO_AMP_EN_OMAP SEC_MISO SEC_MOSI SEC_SPI_CLK SEC_CE INT_SEC AP_RTS*

PCAP_RESET* POWER_FAIL AP_DEEP_SLEEP

5

U2021 TC7SZ08FU BP_STBY

BP_STBY_b

BP_STBY_b

1 2

INB GND INA

OUTY

4

AP_STBY

3

C

WB_RX_SLOT MB_RX_ACQ MB_RX_SLOT MB_RX_ON WB_STANDBY WB_VCO_EN MB_STANDBY MB_VCO_EN DMCS RF_RESET* AOC_PWR_UP TX_RX_ERROR

GND_BB
R2022 0

VLVIO_1.875V

EARSPKR+ EARSPKRBL_SINK BL2_SINK LEDR LEDG TSY2 TSX2 TSY1 TSX1

R2021DNP 100K

o M
ON_OFF* LOGIC_SENSE AUDIO_IN AUDIO_OUT

USB_VP SEO_IN TX_ENB DIN XRXD USB_VM

i b
AUDIO_IN AUDIO_OUT AUDIO_OUT AUDIO_IN

h lc

VCC

s ip
WATCHDOG AP_RTS AP_PCAP_USB_SE0_IN

RESET_OUT*

PCAP_MCU_RESET*

PCAP_RESET* POWER_FAIL USER_OFF RESET_OUT* PCAP_MCU_RESET* WDI GPIO4 PCM_DATA_IN PCM_DATA_OUT CLK_PCM_BIT PCM_SYNC AP_PCAP_INT AP_RTS

KEYPAD

AUDIO_AMP_EN*

AP_PCAP_SPI_MISO AP_PCAP_SPI_MOSI AP_PCAP_SPI_CLK AP_PCAP_SPI_CE AP_PCAP_INT

GPIO2 KBR(4:0) KBC(5:0)

KBR(4:0) KBC(5:0)

BL_SINK BL2_SINK LEDR LEDG TOUCHSCREEN_LOCK* KBR(4:0) KBC(5:0) KBC_7 EARSPKR+ ON_OFF* EARSPKR-

AP_PCAP_USB_VP

C
USB_VPIN SE0_IN USB_TXEN* TXD.VPOUT RTS.XRXD RXD.VMIN

AP_PCAP_USB_TX_ENB AP_PCAP_USB_DIN AP_PCAP_USB_XRXD AP_PCAP_USB_VM

Q2021DNP

PCAP_RESET* POWER_FAIL

GND_BB

CAM_RSTZ SDA SCL CAM_LCLK CAM_HS CAM_VS GPIO3 CAM_DATA(7:0) GPIO6 CAM_EXCLK

CAM_RSTZ SDA SCL CAM_LCLK CAM_HS CAM_VS CAM_1_PD CAM_D(7:0) CAM_2_PD CAM_EXCLK

CAM_RSTZ SDA SCL CAM_LCLK CAM_HS CAM_VS CAM_1_PD CAM_D(7:0) CAM_2_PD CAM_EXCLK

CE Bus Connector
AUDIO_OUT AUDIO_IN HKSW MUTE* DSEL2 DSEL1 DSEL0 OPT1 OPT2 AP_RX AP_TX AP_CTS

BATT_FDBK CE_D+ CE_D-

BATT_FDBK D+ DHKSW_SEL DATALOG* SWB+_EN

MUTE* DSEL2 DSEL1 DSEL0 OPT1 OPT2

HKSW_SEL DATALOG* SWB+_EN

AP_HKSW HELEN_DCD DSEL2 DSEL1 DSEL0 OPT1 OPT2 AP_RX AP_TX AP_CTS

LCD_VSYNC LCD_HSYNC LCD_PCLK LCD_PIXEL(15:0)

LCD_VSYNC LCD_HSYNC LCD_PCLK LCD_PIXEL(15:0)

D

CTS1B.HKSW DCD1B.ASC2 RI1B.ASCK DTR1B.ASTD DSR1B.ASRD WIRE_SDO_EN*

MUTE* DSEL2 DSEL1 DSEL0

LCD_FRONTLIGHT WIRE_SCLK WIRE_NSCS3 LCD_AC IRDA_TX AP_PCAP_STANDBY

LCD_BACKLIGHT LCD_RST* LCD_PCI* LCD_AC

LCD_VSYNC LCD_HSYNC LCD_STB LCD LCD_PCLK LCD_PIXEL(15:0) LCD_R LCD_B LCD_BACKLIGHT LCD_RST* LCD_PCI* LCD_AC TSX1 TSY1 TSX2 TSY2

E3 SHORTING_BAR 1 2

D

GND_SW23
VHOLD_EXT_EN AP_SD_CKE_HL DITHER_CLK

E4 SHORTING_BAR 1 2

LCD_BACKLIGHT LCD_RST* RESCUE_FLASH_CLK_DITH BB_RESET* BP_READY AP_DEEP_SLEEP USB_VMOUT USB_VPIN RTS1B.XRXD RXD1.VMIN TXD1.VPOUT USB_TXEN*

LCD_RST* AP_RESCUE_FLASH_EN BB_RESET* BP_READY AP_DEEP_SLEEP IPCL_USB_VMOUT IPCL_USB_VPIN IPCL_USB_XRXD IPCL_USB_VMIN IPCL_USB_VPOUT IPCL_USB_TXEN* TSX1 TSY1 TSX2 TSY2 ON_OFF*

GND_SW1
RESCUE_FLASH_EN BB_RESET* BP_READY ARMIO5 RB_USB_VMOUT RB_USB_VPIN RB_RTS1* RB_RXD1 RB_TXD1 RB_USB_TXEN*

E2 SHORTING_BAR 1 2

E5 SHORTING_BAR 1 2

GND_BB

E1 SHORTING_BAR 1 2

GND_RTC

GND_RF

GND_BATT

Engineer
wlrw00

COMPANY NAME
Address City TITLE
BB_TOP

Drawn by
PCAP_RESET_LV* 4 U2030 NC7SZ125 C2031 33pF U2030 PWR_GND GND 3 2 VLVIO_1.875V 1

wlrw00

R&D CHK
0.1uF C2035

Size
D
E

E

5 VCC

DOC CTRL CHK MFG CTRL CHK

GND_BB

Changed by
wlrw00
1 2 3 4

Date Changed
Tuesday, May 20, 2003
5

Time
3:55:03 pm
6

QA CHK

REV
7

Drawing Number

Sheet
8

of

1

2

3
Engineer:
413A Danny Ng

4

MOTOROLA INTERNAL USE ONLY

Drawn by:
Danny Ng

R&D CHK: DOC CTRL CHK: MFG CTRL CHK:

TITLE:
BLUETOOTH

Size:
11x17

A

QA CHK: Changed by:
VHVIO_2.775V VBT_IO_2.775V VHOST_3V VBT_USB_3V VBLUETH_1.875V VBT_CORE_1.875V VBT_USB_3V

REV:
1

Drawing Number: Date:

Page:
1

Of:
1

Time:
3:07:09 pm

w15660

Friday, July 11, 2003

E5603

E5607

E5601 C5602 0.1uF C21061 0.1uF

0

0

0

GND_RF

VBT_IO_2.775V

VBT_USB_3V

VBT_CORE_1.875V

E5613 SHORT NC BLUE_CLK_EN* 1 2 VBT_IO_2.775V

B
10 21 2 34 TP5601 BTRF_REG VDD_USB BTL_REG V_I_O TP5600 C5603 0.1uF R5605 100K 16 15 32 30 28 27 6 NC

BLUE_WAKE BLUE_HOST_WAKE VBT_IO_2.775V NC NC

11 9 13 7 5 33 29 R5601 10K 2 8 4 3 18 19 VBT_USB_3V R5604 1.5K 20 NC 31

BLUE_WAKE BLUE_HOST_WAKE BLUE_CLK_EN EEPROM_CLK U5600 BLUE_TX BLUE_RX BLUE_CTS BLUE_RTS USB_DETACH USB_DP USB_DN TM0 GND1 GND2 GND3 GND4 GND5 TM1_2 TM3 CTGND REG_CTRL LPO_IN RESET_N 95L14 ASAP_TX ASAP_RX ASAP_FS ASAP_CLK XTAL_IN XTAL_OUT

4 R5601 10K E5610 1 SHORT 2 1 SHORT E5611 2 1

3

USB_DP USB_DN

ANTENNA_MATCH TX_PU_TDD_N

o M
12 22 25 23

i b
GND_RF

h lc
1

s ip
GND_RF

R5600 BLUETOOTHCLK 1000pF ASAP_TX ASAP_RX ASAP_FS ASAP_CLK

E5609 SHORT 2 U5602 NC7SZ125 4 2 1

BT_WLAN_COEX1 BT_WLAN_COEX2 CLK_32_768K

NC

VMAIN_1.55V

14

17

24

26

1

35

GND_RF

C
GND_RF

GND_RF

VCC

5

4

A

U9717 NC7SB3157 GND

B0 B1 S

3 1 6 R5602
BT_RF_ANT

3

5

U5602 PWR_GND

GND_RF

VCC

GND

ANT5601 ANT5600

6.8nH

2

R5608

L5600DNP 3.9nH

L5601DNP 3.9nH

10K

GND_RF

GND_RF GND_RF

GND_RF

D

1

2

3
Engineer:
413A Ed Naddeo

4

MOTOROLA CONFIDENTIAL PROPRIETARY

Drawn by:
Ed Naddeo

R&D CHK: DOC CTRL CHK: MFG CTRL CHK:

TITLE:
Talon Integrated Embedded SD Flash

Size:
11x17

A

QA CHK: Changed by:
Chris Pipe

REV:
1.0

Drawing Number:
848888888x88

Page:
1

Of:
1

Date:
Friday, May 3, 2002

Time:
1:25:03 pm

VTRI_2.8V

VTRI_2.8V

C5800 0.1uF

C5801 0.1uF

R5800

B

10K

R5801 75K

GND_BB

VTRI_2.8V
J5800 CONTACT 1 2 MMC_DAT2 MMC_DAT3 MMC_CMD 3 4 5 6 PIN1 PIN2 PIN3 PIN4 PIN5 PIN6 PIN12 PIN11 PIN10 PIN9 PIN8 PIN7 12 11 10 9 8 7

o M
GND_BB

i b

h lc

s ip
MMC_DAT1 MMC_DAT0 MMC_CLK

C5805DNP 33pF

GND_RF

GND_RF

C
R5802 0

15KV

VS5800

GND_RF

D

LCD_STB

CR5240 LCD_AC C5240 .033uF R5241 75K G1

Q5240 S1 D1
GND_BB

VHVIO_2.775V

D2 G2 S2 C5241 1.0uF R5242 100K LCD_B LCD_R
GND_BB

GND_BB

C5253DNP 33pF

R5243 10K

R5244 10K GND_RF

LCD_PCI* LCD_RST* LCD_PCLK LCD_VSYNC LCD_HSYNC 1 E5217 SHORT 2

2 4 6 8 10 12 14 16 18

J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200

J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200 J5200

1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 1 E5214 SHORT 2 1 1 E5210 SHORT 2 1 E5212 SHORT 2 1 1 E5206 SHORT 2 E5208 SHORT 1 2 1 1 E5201 SHORT 2 E5203 SHORT 1 2 1 1

E5200 SHORT 2 1 E5204 SHORT 2 E5205 SHORT E5207 SHORT 2 1 E5211 SHORT 2 E5213 SHORT E5215 SHORT 2 1 2 1 2 E5202 SHORT 2

15 14 13 12 11 10 9 8 E5209 SHORT 2 7 6 5 4 3 2 1 0

LCD_PIXEL(15:0)

B+

L5221 22uH

R5223 4.7K

C5221 1.0uF

5 6 4

VIN SW GND CTRL

FB VOUT

3 1 TSX2 TSY2 TSY1 TSX1

20 22 24 26 28 30 32 34 36 38 40

LCD_BACKLIGHT

C5222 1.0uF

U5220 LT3465

C5224 1uF R5225 10

2

LCD_BACKLIGHT_VOUT

GND_BB

C5225 .01uF

C5226 33pF C5252DNP 33pF

GND_RF VS5200 C3 C1 C1 C3 A3 A1 A1 A3 15KV VS5201 C3 C1 A3 A1 15KV VS5203 15KV

GND_BB C5250DNP 2200pF VS5204 C3 C1 C3 C1 A1 A3 A3 A1 15KV VS5205 C3 C1 A3 A1 15KV VS5206 C3 C1 15KV A3 A1 VS5207 15KV

C5251DNP 2200pF B2 B2 B2

o M

i b

h lc

s ip

B2

B2

B2

GND_RF

B2

1

2

3

4

5

6

7

8

9

10

11

12

A

A

FLASH

POG Hierarchy Block
WB_SPI_CLK WB_SPI_MOSI WB_SPI_MISO HAR_SPIWB_CE PS_SPIWB_CE WB_SPIWB_CE R1129 100K MB_SPI_CLK MB_SPI_MOSI MB_SPI_MISO HAR_SPIMB_CE

FLASH_12V ADDR(24:0) DATA(31:0) EB_B_DQM0 EB_B_DQM1 EB_B_DQM2 EB_B_DQM3 CS0_B CS4_B_CSD0 CS5_B_CSD1 OE_B RW_WE_B ECB_B LBA_B BCLK SDRAM_MA(11:10) S_RAS_B S_CAS_B S_CLOCK SDCKE0 SDCKE1 SDWE RP_B

FLASH_12V

B
PS_SPIMB_CE

MQSPI1_CK1 MQSPI1_DO1 MQSPI1_DI1 MQSPI1_SPI_CS_0 MQSPI1_SPI_CS_2 GPIO_19 MQSPI1_CK2 MQSPI1_DO2 MQSPI1_DI2 MQSPI1_SPI_CS_1 GPIO_17 TX_DATA[7:0] RX_DATA[7:2] CLK_CHIPX8 RX_FRAME TX_FRAME BBP_RX_CLK BBP_TX_CLK BBP_RX_FRAME BBP_RX_DATA BBP_TX_DATA BBP_TX_FRAME PA_ENABLE L1T1_TOUT1_6 L1T1_TOUT1_5 L1T1_TOUT1_4 L1T1_TOUT1_3 L1T1_TOUT1_2 L1T1_TOUT1_1 L1T1_TOUT1_0 L1T1_TOUT1_14 L1T1_TOUT1_13 L1T1_TOUT1_11 STBY1 CLKSEL1 L1T1_TOUT1_10 L1T1_TOUT1_9 L1T1_TOUT1_12 GPIO_13 GPIO_22 A_STD A_SRD A_SCK A_SC2 CKIH1 CKIH2 CKIL CKO1 CKO2 RESET_IN POWER_FAIL USER_OFF WDOG_RST

ADDR(24:0) DATA(31:0) FLASH_URW*_DQM0 FLASH_LRW*_DQM2 FLASH_CS* FLASH_OE* FLASH_ECB* FLASH_LBA* FLASH_CLK

POG_RESET*

NC

SDRAM
NC NC

GND_BB
BBIF_TX[7:0] R1128 100K BBIF_RX[7:2] BBIF_CLK BBIF_RX_FRAME BBIF_TX_FRAME BCLKR BCLKX

GND_BB

BFSR BDR BDX

NC NC

ADDR(24:0) DATA(31:0) DQM0 DQM1 SDRAM_CS* SDRAM_MA(11:10) SDRAM_RAS* SDRAM_CAS* SDRAM_CLK SDRAM_CKE SDRAM_WE*

B

AD_TRIG
0 R1003

BFSX TP1009 TEST_POINT BP_CLK_EN 1 HAR_WB_RX_ON HAR_WB_RX_ACQ HAR_TX_SLOT

HAR_TX_PREKEY TX_RAMP

C
TP1005 TEST_POINT TP1006

1 1

HAR_WB_RX_SLOT HAR_MB_RX_ACQ HAR_MB_RX_SLOT HAR_MB_RX_ON

CLK_32_768K

2 VLVIO_1.875V 1

4 U1110 NC7SZ125

C1110 0.1uF

VCC

U1110 PWR_GND

STBY_WB WB_VCO_EN STBY_MB MB_VCO_EN DMCS RF_RESET*
R1127 200K

CLK0_0 RST0_0 DATA0_TX_0 DATA0_RX_0 SIMPD0_1 SIMPD0_0 SVEN0_0 GQSPI1_CK GQSPI1_DO GQSPI1_DI GQSPI_CS_2 GPIO_3 TXD1 RXD1 RTS1_B USB_VPIN USB_VMOUT USB_TXEN* CTS2_B TXD2 RXD2 RTS2_B RI2_B DCD2_B

USIM_CLK USIM_RST USIM_IO

C
BATT_DETB USIM_EN BB_SPI_CLK BB_SPI_MOSI BB_SPI_MISO PCAP_CS PCAP_INT TXD1.VPOUT RXD1.VMIN RTS1B.XRXD USB_VPIN USB_VMOUT USB_TXEN* GPS_RTS GPS_RX GPS_TX GPS_CTS GPS_INT* GPS_RESET*

5

GND 3

AOC_PWR_UP_DOWN ASAP_TX ASAP_RX ASAP_CLK ASAP_FS
TP1008 1

VHVIO_2.775V

GND_BB

VLVIO_1.875V

VLVIO_1.875V

GND_BB

U1120 MC74VHC1G09 C1120 0.1uF 5 VCC

R1122

BB_CLK_15_36M BB_CLK_13M
CKIL

D
BB_RESET* PCAP_RESET*

R1120DNP 10K 1 2 INB

SYS_BB_CLK
OUTY 4 TP1001

1

TP1000

1
POG_RESET*

INA

C1122 .01uF
2113743L41

3

POWER_FAIL BP_USER_OFF

100K R1123 1

NC

GND_BB

GPIO_0 GPIO_2 CLK0_1 GPIO_10 RI1_B DTR1_B DSR1_B DCD1_B CTS1_B GPIO_11

WIRE_SDO_EN*
NC

TP1007 Q1001 TP3091 TEST_POINT

JTAG Test Points
VLVIO_1.875V 1 1 1 1 1 1 1

1

TP1020

1 1

TP1021 TP1022 TP1023 TP1024 TP1025 TP1026

DE_B

GND_BB

TCK TDI TDO TMS TRSTB

TP1028

DE_B TCK TDI TDO TMS TRST_B

BATT_LINE RTS3_B RXD3 RST0_1

E

TP1027

GND_BB

o M
STO

i b
1

DATALOG* SWB+_EN RI1B.ASCK DTR1B.ASTD DSR1B.ASRD DCD1B.ASC2 CTS1B.HKSW

h lc

s ip
1 TP1004

10K

D

GND

VHVIO_2.775V

R1130 10K

R1004DNP BATT_IO 100K

R1005DNP 100K

LCD_BACKLIGHT LCD_RST*

E
BATT_FDBK_SW
1 TP1003 VHVIO_2.775V

GND

TX_RX_ERROR PS_CLK_EN
NC NC NC NC NC NC

GPIO_12 GPIO_14 GPIO_15 GPIO_16 GPIO_18 GPIO_20 GPIO_21 GPIO_23

STO GPIO_1 GPIO_24 GPIO_25 GPIO_5 GQSPI2_CK GQSPI2_DI GQSPI2_DO GQSPI_CS_0 GQSPI_CS_1 MUXCTL GPIO_4 GPIO_6 GPIO_7 GPIO_8 GPIO_9

VCC

100K R1124

OPTION1 OPTION2

5

AP_DEEP_SLEEP
2

U1140 MC74VHC1GT50 4 1 NC

IN_A

OUT_Y NC

BP_READY

NC NC NC NC NC R1105DNP 0 C1140 0.1uF TP1002

MUXCTL HKSW_SEL
NC

GND_BB

GPIO_7
NC

EOLI

POG_SYMBOL

F
VHVIO_2.775V

3

F

VCC

5

4

A

U1150 NC7SB3157 GND

B0 B1 S

3 1 6

NC

RESCUE_FLASH_CLK_DITH
R1150DNP 10K

GND_BB G

2

GND_BB G

MOTOROLA CONFIDENTIAL PROPRIETARY

H

Engineer
Thierry DUFRECHOU

H

MOTOROLA
Toulouse France TITLE
ParagonC BB Rainbow_Memory

Drawn by
Thierry DUFRECHOU

R&D CHK DOC CTRL CHK MFG ENGR CHK Changed by
Thierry DUFRECHOU

Size
A2

Date Changed
Wednesday, January 22, 2003

Time Changed
4:26:55 pm

QA CHK

REV
P2A

Drawing Number
8488155N01

Sheet

16

of

24

1

2

3

4

5

6

7

8

9

10

11

12

1

2

3

4

5

6

7

8

9

10

11

12

TXD1 RXD1 RTS1_B USB_VPIN USB_VMOUT CTS3_B USB_TXEN* RTS3_B R1051 RI2_B RXD3 TXD3 R1101 0 DCD2_B POG_CTS2_B R29524 0 U9567 R1102 R1103 0 TXD2 0 CTS2_B POG_RTS2_B DSR2_B FLASH_12V POG_HVIO POG_HVIO POG_HVIO RXD3 RTS3_B POG_HVIO BATT_LINE GPIO_24 GPIO_25 DTR2_B POG_RXD2 POG_TXD2
RXD3 TXD3

A

A

Added so it will run to layout
0 0

RTS2_B RXD2

VHVIO_2.775V

POG_HVIO

VLVIO_1.875V

POG_LVIO

DCD1_B

DSR1_B

MUXCTL

POG_HVIO

DTR1_B

CTS1_B

TP1050 TP1051

1 1

RI1_B

R1000 0

R1001 0

W14

W11

W15

W12

R11

U11

R10

D11

U12

U13

U10

C11

1.2K

1.2K

1.2K

NVCC_37_UART1_BATIO

NVCC_36_USB

BATT_LINE

RTS1_B

NVCC_12_UART3

NVCC_38_UART2

RTS3_B

USB_TXEN_B

RTS2_B

RXD3

RXD1

USB_VMOUT

RI1_B

DTR1_B

RI2_B

USB_VPIN

DTR2_B

CTS3_B

CTS1_B

MUXCTL

DSR1_B

DCD1_B

DCD2_B

DSR2_B

CTS2_B

GPIO24

GPIO25

RXD2

TXD3

TXD1

TXD2

D10

Y14

A10

V13

Y13

Y12

V12

V10

E11

V14

V11

P10

T12

E10

T11

T10

B11

E9

F9

U1000 MCU_IPCM_IO VMAIN_1.55V

R1020DNP

R1021DNP

R1022DNP

POG Decoupling Caps
NVCC_32_LCD NVCC_31_LCD G1 D1 H6 G3 G4 H5 F2 F3 G5 F4 E1 E2 F5 E3 J5 J6 H4 G2 A2 C3 F6 D3 C1 B1 C2 D2 E4 B3 D4 B2 E5 NC NC NC NC 11 10 9 8 7 6 5 4 3 2 1 0 POG_HVIO C1021 0.1uF C1022 0.1uF C1023 0.1uF C1024 0.1uF C1025 0.1uF 0.1uF 0.1uF 0.1uF 0.1uF 0.1uF 0.1uF 0.1uF 0.1uF 0.1uF 0.1uF C1011 C1012 C1013 C1014 C1015 C1016 C1017 C1018 C1019 C1020 LCD[0:11]

B
(MCU boot1) (MCU boot0)

IRDA_TX IRDA_RX GQSPI2_DO GQSPI2_DI GQSPI2_CK GQSPI1_DO GQSPI1_DI 1.2K
(MCU boot2)

B14 D13 A15 E12 B13 C13 F13 F12 A14 D12 G11 F11 L1

IRDA_TX1 IRDA_RX1 NVCC_40_GQSPI_IRDA GQSPI2_DO GQSPI2_DI GQSPI2_CK GQSPI1_DO GQSPI1_DI GQSPI1_CK GQSPI_CS_0 GQSPI_CS_1 GQSPI_CS_2 NVCC_44_MMC MMC_DAT MMC_CMD_OD_EN MMC_CMD MMC_CLK

B
POG_LVIO C1001 POG_LVIO 0.1uF C1002 0.1uF C1003 0.1uF C1004 0.1uF C1005 0.1uF C1006 0.1uF C1007 0.1uF C1008 0.1uF C1009 0.1uF C1010 0.1uF

LCD_LOAD_HSYNC LCD_FRAME_VSYNC LCD_CLK LCD_AC_LOE LCD11 LCD10 LCD9 LCD8 LCD7 LCD6

GQSPI1_CK

GQSPI_CS_0 GQSPI_CS_1 GQSPI_CS_2

R1023

POG_HVIO MMC_DAT

L5 L3 L2 L4 G15 B20 G14 C18 E16 D17 C20 E18 C19 W1 W2 V3 Y2 U4 T4 W3

POG

LCD5 LCD4 LCD3 LCD2 LCD1 LCD0 NVCC_30_KEY KEY_ROW7 KEY_ROW6 KEY_ROW5 KEY_ROW4 KEY_ROW3 KEY_ROW2 KEY_ROW1 KEY_ROW0 KEY_COL3 KEY_COL2 NVCC_41_MCUGPIO KEY_COL1 KEY_COL0

MMC_CMD_OD_EN MMC_CMD

GND_BB MMC_CLK
POG_LVIO

MCU & IPCM Peripherals
NVCC_10_CLKS_RSTS USER_OFF WDOG_RST STO RESET_IN POWER_FAIL CKO2 CKO1 CKIL NVCC_43_VSAP V_STD V_SRD NVCC_49_SVEN V_SC2 SIMPD0_0 SVEN0_0 V_SC1 V_SC0 RST0_0 NVCC_33_SIM0 NVCC_26_SIM1 V_SCK DATA0_RX_0 DATA0_TX_0

C

USER_OFF WDOG_RST STO RESET_IN POWER_FAIL CKO2 CKO1 CKIL POG_HVIO V_STD V_SRD V_SCK V_SC2 V_SC1 V_SC0

POG_LVIO

7 6 5 4 3 2 1 0 11 10 9 8

KEY[0:11]

C1026 0.1uF

C1027 0.1uF

C1028 0.1uF

C1029 0.1uF

C1030 0.1uF

C

GND_BB

SVEN0_1

DATA0_RX_1

DATA0_TX_1

SIMPD0_1

RST0_1

GPIO11

CLK0_0

CLK0_1

GPIO10

GPIO2

GPIO4

GPIO9

GPIO8

GPIO7

GPIO6

GPIO5

GPIO3

GPIO1

GPIO0

D
POG_HVIO POG_HVIO

C1000 0.1uF

MSEO_B

POG_LVIO

TRST_B

SIMPD0_0 SIMPD0_1

POG_SIMPD0_0 POG_SIMPD0_1 R1040 0 POG_LVIO

DE_B

RDY_B MDO[1] MDO[0] MCKO TEST

0

EVTO_B EVTI_B

R1041

GND_BB
TMS

R13

U14

U15

R14

U16

Y19

P20

A17

Y17

A19

Y15

Y16

V15

V17

P14

V16

Y18

T15

T18

T20

T13

T14

L19

J19

J20

E

NVCC_25_TEST

NVCC_24_TEST

TRST_B

NVCC_2_EIM

NVCC_3_EIM

NVCC_4_EIM

NVCC_5_EIM

NVCC_6_EIM

NVCC_7_EIM

NVCC_8_EIM

NVCC_9_EIM

NVCC_0_EIM

NVCC_1_EIM

RDY_B

MSE0_B

EVTO_B

EMU1_B

EMU0_B

EVTI_B

MCKO

DE_B

MDO1

MDO0

TEST

TMS

TDO

TCK

TDI

W9

R9

U9

V9

Y9

T9

Y8

o M
TCK TDI W16 W18

A_SCK

A_STD

A_SC2

A_SC1 A_SC0

G18

U1000 MEM_TEST U1000 DSPIO

POG_HVIO

i b

EMU1_B EMU0_B

h lc
DATA31 DATA30 DATA29 DATA28 DATA27 N18 N19 M13 M16 M17 M18 M19 N20 M14 M15 L16 L18 L17 L14 L15 L20 L13 K20 K14 K15 K16 K17 J18 J17 J16 H19 G20 J15 J14 H18 G19 J13 DATA26 DATA25 DATA24 DATA23

VSIM_POG

s ip
R1042 0 A_SRD

E13

C14

H3

K3

J1

U6

U8

K5

Y6

H2

U7

R7

V8

T8

J3

J4

A12

C12

B12

W8

H1

R8

K2

V7

T7

K4

Y7

W7

D

DATA0_RX_0

DATA0_RX_1

DATA0_TX_0

DATA0_TX_1

SVEN0_1

SVEN0_0

RST0_0

RST0_1

CLK0_0

CLK0_1

GPIO_9

GPIO_7

GPIO_3

GPIO_11

GPIO_8

GPIO_6

GPIO_5

GPIO_4

GPIO_2

GPIO_1

GPIO_10

GPIO_0

POG_A_SRD

TDO

POG_LVIO

E

31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 GPIO12 GPIO13 CLK_CHIPX8 RX_FRAME RX_DATA[7:2] PA_ENABLE TX_FRAME TX_DATA[7:0] 7 6 5 4 3 2 1 0 7 6 5 4 3 2 BBP_TX_FRAME BBP_TX_DATA BBP_TX_CLK BBP_RX_FRAME BBP_RX_DATA BBP_RX_CLK POG_LVIO R1 N5 P1 P2 N6 M5 N1 R2 N3 M4 V1 U1 U3 U2 T3 T2 P5 T1 N2 N4 P3 P4 R4 N7 R5 M3 BBP_TX_FRAME BBP_TX_DATA BBP_TX_CLK BBP_RX_FRAME BBP_RX_DATA BBP_RX_CLK NVCC_29_BBIF_BBP NVCC_28_BBIF PA_ENABLE TX_FRAME TX_DATA_7 TX_DATA_6 TX_DATA_5 TX_DATA_4 TX_DATA_3 TX_DATA_2 TX_DATA_1 TX_DATA_0 RX_FRAME RX_DATA_7 RX_DATA_6 RX_DATA_5 RX_DATA_4 RX_DATA_3 RX_DATA_2 CLK_CHIPX8

NVCC_39_ASAP

A_SRD

A_SCK

A_STD

A_SC2

A_SC1

A_SC0

MQSPI1_SPI_CS_2 MQSPI1_SPI_CS_1 MQSPI1_SPI_CS_0 MQSPI1_CK1 MQSPI1_DI1 MQSPI1_DO1 MQSPI1_CK2 MQSPI1_DI2 MQSPI1_DO2 NVCC_35_MQSPI_L1T1 NVCC_34_MQSPI_L1T1

D9 C9 A8 A7 E8 C8 B8 F8 D8 B6 C10 B7 B5 C5 D5 C4 B4 D7 C7 A5 D6 G7 E6 A4 E7 F7 D20 E17 E19

MQSPI1_SPI_CS_2 MQSPI1_SPI_CS_1 MQSPI1_SPI_CS_0 MQSPI1_DI1 MQSPI1_DO1

SDRAM_MA(11:10) 11 10 24 23 22 21 20 19 18 17 16 VMAIN_1.55V 15 14 13 W13 W10 W17 M20 H17 D18 R20 H20 A16 B10 Y10 A13 K19 A11 Y11 C6 R3 A9 A3 Y5 K1 M1 B9 A6 F1 J2 U1000 PWR_GND 12 11 10 NGND_24 NGND_25 NGND_26 NGND_28 NGND_29 NGND_30 NGND_31 NGND_32 NGND_33 NGND_34 NGND_35 NGND_36 NGND_37 NGND_38 NGND_39 NGND_40 NGND_41 NGND_42 QGND_15 QGND_16 QGND_17 QGND_18 QGND_20 QGND_13 QGND_14 QGND_12 QGND_19 QGND_21 QGND_22 QGND_23 QGND_10 QGND_11 QGND_24 QGND_2 QGND_3 QGND_4 QGND_5 QGND_1 QGND_6 QGND_7 QGND_8 QGND_9 NGND_43 GNDA NGND_44 L11 V18 N8 V2 N11 R12 P9 P13 P12 G6 G10 L12 L9 H12 M12 NC NC H13 M7 S_CLOCK S_CAS_B S_RAS_B EB_B_DQM1 EB_B_DQM2 EB_B_DQM3 SDWE CS4_B_CSD0 CS5_B_CSD1 RP_B RW_WE_B SDCKE0 SDCKE1 ECB_B LBA_B EB_B_DQM0 BCLK CS0_B OE_B M8 V4 N13
GND_BB

F14 B17 T16 U17 W20 W19 U18 V19 V20 T17 R16 R17 U19 R15 T19 U20 P16 R18 R19 P15 P17 P18 N14 N16 P19 N15 N17

R1031DNP

1.2K

MA11 MA10 ADDR24 ADDR23 ADDR22 ADDR21 ADDR20 ADDR19_SDIBA3 ADDR18_SDIBA2 ADDR17_SDIBA1 ADDR16_SDIBA0 ADDR15_SDBA4 ADDR14_SDBA3 ADDR13_SDBA2 ADDR12_SDBA1 ADDR11_SDBA0 ADDR10_MA9 ADDR_9_MA8 ADDR8_MA7 ADDR7_MA6 ADDR6_MA5 ADDR5_MA4 ADDR4_MA3 ADDR3_MA2 CS4_B_CSD0 EB_B_DQM0 EB_B_DQM1 EB_B_DQM2 ENDN_SEL EB_B_DQM3 CS5_B_CSD1 BOOTMOD_0 BOOTMOD_1 ADDR2_MA1 ADDR1_MA0 ADDR0

MQSPI1_CK1
(DSP boot0)

MQSPI1_CK2 MQSPI1_DI2 MQSPI1_DO2 POG_LVIO L1T1_TOUT1_14 L1T1_TOUT1_13 L1T1_TOUT1_12 L1T1_TOUT1_11 L1T1_TOUT1_10 L1T1_TOUT1_9 L1T1_TOUT1_6 L1T1_TOUT1_5 L1T1_TOUT1_4 L1T1_TOUT1_3 L1T1_TOUT1_2 L1T1_TOUT1_1 L1T1_TOUT1_0 CLKSEL1 STBY1 CKIH2 CKIH1 POG_LVIO
GND_BB

DATA22 DATA21 DATA20 DATA19 DATA18 DATA17 DATA16 DATA15 DATA14 DATA13 DATA12 DATA11 DATA10 DATA9 DATA8 DATA7 DATA6 DATA5 DATA4 DATA3 DATA2 DATA1 DATA0

1.2K

POG

(DSP boot1)

External Memory Interface

POG 1.0 DSP Interfaces

L1T1_TOUT1_14 L1T1_TOUT1_13 L1T1_TOUT1_12 L1T1_TOUT1_11 L1T1_TOUT1_10 L1T1_TOUT1_9 L1T1_TOUT1_6 L1T1_TOUT1_5 L1T1_TOUT1_4 L1T1_TOUT1_3 L1T1_TOUT1_2 L1T1_TOUT1_1 L1T1_TOUT1_0 CLKSEL1 NVCC_42_DSPGPIO STBY1 CKIH2 CKIH1 VCCA_CKIH

R1030DNP

F

F

Test Interface

QVCC_11

QVCC_10

QVCC_1

QVCC_23

QVCC_22

QVCC_21

QVCC_20

QVCC_19

QVCC_18

QVCC_17

QVCC_16

QVCC_15

QVCC_14

QVCC_13

QVCC_12

QVCC_5

QVCC_4

QVCC_3

XVCC

QVCC_9

QVCC_8

QVCC_7

QVCC_6

QVCC_2

UVCC

FVCC

9 8 7 6 5 4 3 2 1 0

NC NC NC NC NC NC NC

M2 L6 M6 Y20 A1 A20 Y1 K6 K8 K9 J7 K10 K12 D19 H8 J8 J10 B19 L7

NC1 NC2 NC3 NC4 NC5 NC6 NC7 NGND_0 NGND_1 NGND_2 NGND_3 NGND_4 NGND_5 NGND_6 NGND_7 NGND_8 NGND_9 NGND_10 NGND_12

POG CORE POWER and GROUND

S_CLOCK

S_RAS_B

RW_WE_B

S_CAS_B

SDCKE0

SDCKE1

GPIO14

GPIO15

GPIO16

GPIO17

GPIO18

GPIO19

GPIO20

GPIO21

GPIO22

ECB_B

CS0_B

CS1_B

CS2_B

LBA_B

OE_B

CS3_B

SDWE

RP_B

BCLK

B16

B15

F15

F18

E14

A18

B18

K13

D14

C15

D15

D16

H14

E20

F20

F17

F19

G16

C17

H15

E15

H16

G17

K18

W6

NC

G

C16

W5

W4

U5

R6

T6

V6

P7

P6

Y4

V5

T5

Y3

ADDR(24:0)

DATA(31:0)

GPIO23

0

G
GPIO_13 GPIO_14 GPIO_17 GPIO_18 GPIO_19 GPIO_20 GPIO_21 GPIO_22 GPIO_12 GPIO_15 GPIO_16 GPIO_23 POG_LVIO

R1058 0

U9568 0

F10

P11

N10

N12

K11

M10

M11

G12

G13

H10

POG_LVIO

BOOTMOD_0 BOOTMOD_1

POG_LVIO

GND_BB

H11

F16

N9

G9

H9

M9

G8

H7

L8

K7

J9

L10

J11

J12

P8

MOTOROLA CONFIDENTIAL PROPRIETARY

H

Engineer
Thierry DUFRECHOU

H

MOTOROLA
Toulouse France TITLE
ParagonC BB POG_Symbol

Drawn by
Thierry DUFRECHOU

R&D CHK DOC CTRL CHK MFG ENGR CHK Changed by
Thierry DUFRECHOU

Size
A2

Date Changed
Wednesday, January 22, 2003

Time Changed
4:27:16 pm

QA CHK

REV
P2A

Drawing Number
8488155N01

Sheet

19

of

24

1

2

3

4

5

6

7

8

9

10

11

12

1

2

3

4

5

6

7

8

VMEM_1.875V R1303 0

V_FLASH

A
C1302 0.1uF C1305DNP C1308DNP C1309 C1303DNP C1304DNP 0.1uF 0.1uF 0.1uF 0.1uF 0.1uF

A

GND_BB
VLVIO_1.875V R1302 0 V_FLASH_IO

C1306 0.1uF

C1307DNPC1310 0.1uF 0.1uF

C1312 0.1uF

C1313DNP 0.1uF

GND_BB B
TP1310 DATA(31:0) ADDR(24:0) V_FLASH_IO R1300 0 1

B

C1300 0.1uF

C

GND_BB

FLASH_ECB* FLASH_CLK FLASH_CS* FLASH_OE* FLASH_LRW*_DQM2 FLASH_URW*_DQM0 POG_RESET* FLASH_LBA*

V_FLASH

V_FLASH_IO

B4 E7 F8 C5 B5

o M
VCC1 VCC2 VCCQ1 CLK CE OE VCCQ2 VPP WE WP ADV A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 A20 A21 A22 VSSQ1 VSS1 VSSQ2 VSS2 D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D12 D13 D14 D15 RST

i b
D3 F7 E6 E5 G5 E4 G3 E3 G1 G7 F6 F5 F4 D5 F3 F2 E2

h lc
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

s ip

C

G4

WAIT 28F128L18

D

V_FLASH_IO

D6 C4

U1310

G6

A4

A5

E1

D

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23

E8 D8 C8 B8 A8 B7 A7 C7 A2 B2 C2 A1 B1 C1 D2 D1 D4 B6 A6 C6 B3 C3 D7

E

E

G2

G8

A3

F1

MOTOROLA CONFIDENTIAL PROPRIETARY GND_BB
Engineer
Thierry DUFRECHOU

MOTOROLA
Toulouse France TITLE:
ParagonC BB FLASH_Symbol

F

Drawn by
Thierry DUFRECHOU

F
Size
A3

R&D CHK DOC CTRL CHK MFG ENGR CHK Changed by
Thierry DUFRECHOU

Date Changed
Wednesday, January 22, 2003

Time Changed
4:27:43 pm

QA CHK

REV
P2A

Drawing Number
8488155N01

Sheet

17

of

24

1

2

3

4

5

6

7

8

1

2

3

4

5

6

7

8

A

A

VMEM_1.875V R1400 0

V_SDRAM

V_SDRAM

V_SDRAM_IO

C1400 0.1uF

C1401 0.1uF

C1402 0.1uF

C1403DNP 0.1uF

TP1400

1

B
C7 D3 A9 E7 A7 B3 J9 VLVIO_1.875V R1402 0 VDD1 VDD2 VDD3 VDDQ1 VDDQ2 VDDQ3

GND_BB
V_SDRAM_IO

B

ADDR(24:0)

11

SDRAM_CLK SDRAM_CKE SDRAM_CS* SDRAM_WE* SDRAM_RAS* SDRAM_CAS*

F2 F3 G9 F9 F8 F7 G7 G8

CLK CKE CS WE RAS CAS BA0 BA1 A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11

VDDQ4

C1404 0.1uF

C1405 0.1uF

C1406 0.1uF

C1407 0.1uF

12

1 2 3 4 5 6 7

H7 H8 J8 J7 J3 J2 H3 H2 H1 G3 H9 G2

DQ0 DQ1 DQ2 DQ3 U1400 MT48H4M16LF DQ4 DQ5 DQ6 DQ7 DQ8 DQ9 DQ10 DQ11 DQ12 DQ13

A8 B9 B8 C9 C8 D9 D8 E9 E1 D2 D1 C2 C1 B2 B1 A2 E2 G1

GND_BB
16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 DATA(31:0)

C

8 9 10 10 11

SDRAM_MA(11:10)

DQM1 DQM0

E8 F1

LDQM UDQM

DQ14 DQ15 NC1 NC2 VSSQ1 VSSQ2 VSSQ3 VSSQ4

NC NC

o M

i b

h lc

s ip

C

VSS1 A1

VSS2

J1

VSS3

C3

D7

E3

A3

B7

D

D

GND_BB

E

SDRAM size

IAM=0(Non-Interleaved) 4Mx16 8Mx16

E

BA Connection

BA0 BA1

SDBA0(ADDR11) SDBA1(ADDR12)

SDBA1(ADDR12) SDBA2(ADDR13) MOTOROLA CONFIDENTIAL PROPRIETARY

Engineer
Thierry DUFRECHOU

MOTOROLA
Toulouse France TITLE:
ParagonC BB SDRAM_Symbol

F

Drawn by
Thierry DUFRECHOU

F
Size
A3

R&D CHK DOC CTRL CHK MFG ENGR CHK Changed by
Thierry DUFRECHOU

Date Changed
Wednesday, January 22, 2003

Time Changed
4:28:30 pm

QA CHK

REV
P2A

Drawing Number
8488155N01

Sheet

18

of

24

1

2

3

4

5

6

7

8

VCC_OUT

VOMAP_1.6V C2099 10uF 2

VCC_OUT

U2040 TC7SH02FU VHOLD_EXT_EN POWER_FAIL

G

1 4 2

5

U2040 PWR_GND

VCC

SHORT E2021 1

0 R2020

GND 3

VLVIO_1.875V
R2010 0

V_HDRAM_IO

POWER_FAIL

G
C2007 4.7uF
2187906N01

1 C2004 0.1uF C2005 0.1uF C2006 0.1uF

1 C2018 0.1uF

1 C2003 0.1uF

1 C2008 0.1uF C2009 0.1uF

1 C2014 0.1uF C2094 0.1uF C2025 2 C2097 0.1uF 0.1uF C2098 0.1uF C2012 0.1uF C2015 2 0.1uF

K.Abe

- Replaced Flash(CS1) with 256Mbit part.

Apr 04 2003

1 2 CLK_13MHZ GND_BB USER_OFF R2097 10 V_HDRAM_IO GND_BB 2 2 2 2

1

V_HFLASH_IO

VHOST_3V

R2011 0

VHVIO_2.775V 2 Q3981 SI8401DB
4862830F01

1 C2021 0.1uF

C2017 0.1uF

C2019 0.1uF

C2016 1 C2013 0.1uF 0.1uF CX-91F 12MHz VHVIO_2.775V 1 C2001 15pF IN GND1 GND

R2310 0

C2020 0.1uF

C2011 0.1uF

C2010 0.1uF

C2027 10uF

C2022 1 C2026 0.1uF 0.1uF

C2028 0.1uF

2

R2012 0

VMEM_1.875V

V_HFLASH_CORE

GND_BB VCC_OUT GND_BB GND_BB

2

Y2000 OUT 3 C2002 15pF V_HFLASH_IO R2311 0 VLVIO_1.875V

C2351 0.1uF

C2350 0.1uF

C2303 0.1uF

0.1uF C2090

2

4

VHOLD_EXT_EN R2055 10K VCC_OUT R2003 10K C2030 0.1uF

GND_BB GND_BB GND_BB

GND_BB

V_HFLASH_IO

GND_BB GND_BB GND_BB GND_BB C2033 0.1uF 5 C2032 0.1uF C2306 0.1uF C2302 0.1uF

VDDSHV1_1 VDDSHV1_2 VDDSHV1_3 VDDSHV1_4 VDDSHV1_5 VDDSHV1_6

VDDSHV4_1 VDDSHV4_2 VDDSHV4_3 VDDSHV4_4 VDDSHV4_5

VDDSHV3_1

VDDSHV5_1 VDDSHV5_2 VDDSHV5_3 VDDSHV5_4 VDDSHV5_5 VDDSHV5_6 VDDSHV5_7

8

U2070 TC7W74FU

PCAP_MCU_RESET*

VCC

MPU_NRESET NRESET_OUT NRESPWRON EXT_FIG CONF
ARM_BOOT
SE0_IN R2002 10K

VDDSHV6_1

VDDSHV2

VDD_1 VDD_2 VDD_3 VDD_4 VDD_5 VDD_6 VDD_7 VDD_8 VDD_9 VDD_10 VDD_11 VDD_12 VDD_13

RESET_OUT*

G

5

Q

CLK CLR PR

1 6 7 2

4

GND

WDI

GND_BB GND_BB

AP_PCAP_INT ARMIO5 GND_BB ARMIO5 R2007 VHVIO_2.775V MEDIA_DI GPIO2 GPIO3 R2040 100K VHVIO_2.775V GPIO4 GPIO6 AP_HKSW C2046 RESCUE_FLASH_EN HELEN_DCD R2045 100K R2046 22pF 75K AP_PCAP_STANDBY ASAP_FS BB_RESET* DSEL1 CODEC_CLK_EN* 0 DSEL0 GPIO1 BP_READY

GND_BB FADD(23:0) NFRP FCLK

R2059 2.2K

OPT1

ARMIO1 ARMIO2 ARMIO3 ARMIO4 ARMIO5 GPIO0 GPIO1 GPIO2 GPIO3 GPIO4 GPIO6 GPIO7 GPIO8 GPIO9 GPIO11 GPIO12 GPIO13 GPIO14 GPIO15

GND_BB

HELEN

R2047 200K

GND_BB

PCM_SYNC PCM_DATA_OUT PCM_DATA_IN

PCM_CLKS PCM_SYNC PCM_DATA_OUT PCM_DATA_IN

MCBSP1

R2042 24 BLUE_USB_DM BLUE_USB_DP R2041 24 R2043 15K R2044 15K C2023 22pF 2 GND_BB GND_BB 1 GND_BB

USB_DM USB_DP USB_CLK0

1

2 C2024 22pF ASAP_TX

WIRE_NSCS3 ASAP_CLK WIRE_SCLK ASAP_RX

WIRE_NSCS3 WIRE_NSCS0 WIRE_SCLK WIRE_SDO WIRE_SDI

uWIRE

o M

i b

h lc

s ip

FLASH_12V

Flash Interface

FADD(23:0) NFRP FCLK NFRDY NFWP NFADV NFCS_0 NFCS_1 NFOE NFWE NFCS_3 NFCS_2 NFBE_0 NFBE_1 FDATA(15:0)

3

RESET_OUT*

3

INA

Q*

D

COM_MCLK_OUT COM_MCLK_REQ

CLK_32_768K

GND

ARM_BOOT COM_SHUTDOWN

CLK_PCM_BIT CLK_COM_PCM CLK32K_IN CLK32K_CTRL CLK32K_OUT

CLK_PCM_BIT

PCAP_RESET*

1 NFRP 2

VCC

OSC32K_IN OSC32K_OUT OSC1_IN OSC1_OUT

NC

GND_BB

C2034 0.1uF

U2020 TC7SZ08FU

GND_BB

INB

OUTY

4

C21062 0.1uF

10K NFWP NFADV NFCS_0 NFCS_1 NFOE TP2301 NFWE TP2300 R2301 V_HFLASH_CORE V_HFLASH_IO

K6

B6

B5

K7 CLK

L4

R2300DNP

D2300

F2_VCC2

F1_VCC2

F1_VCC1

F2_VCC1

VCCQ3

VCCQ2

FDATA(15:0)

1

1

D4 G7 NFWP NFADV 1 4 1 1 1 1 1 1 1 E4 E5 F4 VHVIO_2.775V NFCS_0 NFCS_1 TEST_POINT 1 R2030 10K 1 TP2028 TP2020 TEST_POINT NFOE K1 G8 K3 J2 H8 NFWE F5

VCCQ1 C6

0

F_VPP WAIT F_WP ADV F_RST F1_CE F2_CE F3_CE F1_OE F2_OE F_WE U2300 PF48F4400

L3

J8

A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 A20 A21 A22 A23 A24 A25

G1 F1 E1 D1 B1 C1 F2 E2 F6 D7 E7 B8 C8 D8 F7 E8 F8 D2 B2 B3 E6 B7 C7 C3 D3 E3

0 1 2 3 4 5 6 7 8 9
10

JTAG

2

3

TMS NTRST TDI TDO TCK NEMU1 NEMU0 NBSCAN

TP2026 TP2027 TP2023 TP2024 TP2022 TP2030 TP2029

10K R2026

R2006 10K

R2026 10K

GND_BB

0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 R2302 10K V_HFLASH_CORE 15

H2 H3 G3 H4 J5 G5 J6 H7 G2 J3 G4 J4 H5 G6 H6 J7 C2 C5 D5 D6 F3 H1 J1 K2 K5 K4

D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D12 D13 D14 D15 R_LB S_CS2 R_WE P1_CS R_UB R_OE S_CS1 P2_CS P_VCC S_VCC P_MODE

11 12 13 14 15 16 17 18 19 20 21 22 23

JTAG Test Points
UART1 RX1 RTS1 TX1 CTS1
AP_RX AP_CTS AP_TX AP_RTS

SADD(12:0)
AP_SD_CAS* AP_SD_RAS*AP_SDADD(12:0) AP_SD_BA1 AP_SD_BA0 AP_SD_WE* AP_SD_CKE_HL AP_SD_CKE_HL AP_SD_CLK AP_SD_DATA(15:0) AP_SD_LDQM AP_SD_UDQM R2402 100K VHSDRAM_CORE VHSDRAM_IO OPT2 MMC_DAT R2431 200K D3 A9 E7 J9 C7 B3 A7 U2400 HYBE25L256160AC MEDIA_DI MMC_CMD MMC_CLK AP_SPI_CS NC E2 NC GND_BB AP_SPI_CLK AP_SPI_MOSI DSEL2 NC AP_SPI_MISO RB_USB_VMOUT RB_RTS1* DQ0 DQ1 DQ2 A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 DQ3 DQ4 DQ5 DQ6 DQ7 DQ8 DQ9 DQ10 DQ11 DQ12 DQ13 DQ14 DQ15 A8 B9 B8 C9 C8 D9 D8 E9 E1 D2 D1 C2 C1 B2 B1 A2 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 GND_BB VCC_OUT R2410 0 VSSQ1 VSSQ3 VSSQ2 VSSQ4 VHSDRAM_CORE VLVIO_1.875V R2411 0 VHSDRAM_IO DITHER_CLK USB_TXEN*

NSCAS NSRAS SBANK_1 SBANK_0 NSWE SDCLK_EN SDCLK SDATA(15:0) NSDQML NSDQMU MEDIA_CMD MEDIA_DO MEDIA_DI MEDIA_CS MEDIA_CLK COM_SPI_XSYNC COM_SPI_CLKX COM_SPI_DOUT COM_SPI_RSYNC COM_SPI_CLKR COM_SPI_DIN COM_PCM_DIN COM_PCM_OUT COM_PCM_SYNC

UART2

RX2 TX2 CTS2 RTS2 TX RX
IRDA_TX LCD_FRONTLIGHT

RB_TXD1 RB_RXD1 RB_USB_TXEN* RB_USB_VPIN

SDRAM Interface UART3 (GigaUART)

SDA SCL

SDA SCL

R2303 10K

DU1 DU2 DU3 DU4 DU5 DU6 DU7 DU8

A1 A2 A7 A8 M1 M2 M7 M8

MMC

VSS8

VSS7

VSS6

VSS5

VSS4

VSS3

VDDQ1

VDDQ2

VDDQ3

VDDQ4

VDD1

VDD2

VDD3

AP_SD_CLK AP_SD_CKE_HL AP_SD_WE* AP_SD_CAS* R2430 200K R2403 200K GND_BB GND_BB 0 1 2 3 4 5 6 7 8 9 10 11 12 AP_SD_RAS* AP_SD_UDQM AP_SD_LDQM AP_SD_BA0 AP_SD_BA1

F2 F3 F9 G9 F7 F8 F1 E8 G7 G8 H7 H8 J8 J7 J3 J2 H3 H2 H1 G3 H9 G2 G1

CLK CKE EN_WE CS CAS RAS UDQM LDQM BA0 BA1

MCSI2

LCD_PIXEL(15:0) LCD_PCLK LCD_AC LCD_HSYNC LCD_VSYNC KBR(4:0) KBC(5:0) BT_PCM_BCLK BT_PCM_SYNC BT_MCLK_REQ BT_PCM_DIN BT_MCLK_OUT BT_PCM_OUT

L8

L7

L6

L5

L2

L1

C4

LCD_PCLK LCD_AC LCD_HSYNC LCD_VSYNC KBR(4:0) KBC(5:0) RXD.VMIN USB_VPIN RTS.XRXD NC TXD.VPOUT

GND_BB

TI_RESERVED6 TI_RESERVED4 VSS_1 VSS_2 VSS_3 VSS_4 VSS_5 VSS_6 VSS_7 VSS_8 VSS_9 VSS_10 VSS_11 VSS_12 VSS_13 VSS_14 VSS_15 VSS_16 VSS_17 VSS_18 VSS_19 VSS_20 VSS_21 VSS_22 VSS_23 VSS_24 VSS_25 VSS_26 VSS_27 VSS_28

MCSI1

VSS1

VSS2

VSS3

BLUE_HOST_WAKE

J1

C3

D7

A1

E3

A3

B7

C21060 0.1uF GND_BB

C21059 0.1uF

C21058 0.1uF

C2070 0.1uF

C2069 0.1uF

C2068 0.1uF

GND_BB

GND_BB

B4

LCD_PIXEL(15:0)

VSS2

VSS1

CAM_RSTZ CAM_EXCLK CAM_LCLK CAM_HS CAM_VS CAM_D(7:0)

CAM_RSTZ CAM_EXCLK CAM_LCLK CAM_HS CAM_VS CAM_DATA(7:0)

R2304 10K GND_BB U9719 1

K8

1

2

3

4

5

6

7
Engineer: Drawn by: R&D CHK: TITLE:

8

Size:

A

DOC CTRL CHK: MFG CTRL CHK: QA CHK: REV: Date: Drawing Number: Page: Of: Time:

A

CAM_VS CAM_HS CAM_RSTZ GPIO15 GPIO14 GPIO13 GPIO12 GPIO11 GPIO9 GPIO8 GPIO7 GPIO6 GPIO4 GPIO3 GPIO2 GPIO1 GPIO0 ARMIO5 ARMIO4 ARMIO3 ARMIO2 ARMIO1 NEMU0 NEMU1 BT_PCM_SYNC BT_PCM_BCLK CLK32K_OUT MEDIA_DI VSS_18 MEDIA_CMD MEDIA_DO

FCLK SDATA(15:0)

B
13 14 12 10 9 8 7 6 5 4 3 2 1 0 7 6 5 4 3 2 1 15 11 0

CAM_D(7:0)

Changed by:

B

AA13

W11

W17

M20

M15

M14

W10

M19

N15

N21

N19

N18

N20

R19

R18

U19

K18

K19

K15

K14

P19

P20

P18

V16

CAM_RSTZ

SDATA_12

SDATA_11

SDATA_10

SDATA_1

SDATA_9

SDATA_8

SDATA_7

SDATA_0

ARMIO_5

CAM_HS

V13

Y12

L19

W8

G8

D5

C4

D6

D8

C8

T19

L18

J18

J19

J14

B4

B8

Y8

V8

MCSI1_BCLK

MMC_DAT3

MMC_DAT2

CLK32K_OUT

MCSI1_SYNC

MMC_DAT1

MMC_DAT0

CAM_D_7

CAM_D_6

CAM_D_5

SDATA_15

SDATA_14

SDATA_13

CAM_D_4

GPIO_15

GPIO_14

GPIO_13

SDATA_6

SDATA_5

SDATA_4

SDATA_3

SDATA_2

CAM_D_3

CAM_D_2

CAM_D_1

CAM_D_0

GPIO_12

CAM_VS

ARMIO_4

ARMIO_3

ARMIO_2

ARMIO_1

GPIO_9

GPIO_8

GPIO_7

GPIO_6

GPIO_4

GPIO_3

GPIO_11

GPIO_2

FCLK

GPIO_1

GPIO_0

NEMU0

NEMU1

R11

V10

C6

D7

N3

C7

G9

C5

H8

B6

B9

T20

L15

C

CLK_PCM_BIT CLK_COM_PCM CLK32K_IN PCM_CLKS PCM_SYNC NRESPWRON CLK32K_CTRL KBR(4:0)

G21 Y10 P13 G20 H15 G19 AA20 4 3 2 1 0 E19 E20 H14 F19 G18 H20 U18 W19 V18 Y19 V17 W18 Y18 Y17 AA17 V15 R14 Y5 V14 R9 R13 W13 AA9 V9 R10 P10 H7 W12 R12 Y2 W3 AA15 Y14 W7 V7 Y6 W6 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 V3 T4 U3 U1 P8 T3 T2 R4 R3 R2 P7 P4 P2 N7 N2 N4

CLK_MBSP1_BCLK CLK_MCSI2 CLK32K_IN MCBSP1_CLKS MCBSP1_SYNC NRESPWRON CLK32K_CTRL KBR_4 KBR_3 KBR_2

NSWE NSRAS

C3 A2 D4 B3 H9 D10 C10 G10 H10 C11 D11 G11 C12 D12 H11 C13 D13 G12 C14 B14 D14 H12 B15 C15 D15 C16 A17 B17 12 11 10 9 8 7 6 5 4 3 2 1 0

NSWE NSRAS NSDQMU NSDQML NSCAS SBANK_1 SBANK_0 SADD(12:0)

NSDQMU NSDQML NSCAS SBANK_1 SBANK_0 SADD_12 SADD_11

C

VDD_2 VDD_12 VDD_9 VDD_8

VDD_1 VDD_13

VDD_10 VDD_11

VDD_7

VDD_3 VDD_4 VDD_5 VDD_6

KBR_1 KBR_0 MCBSP1_DIN WIRE_SDI BVLZ CONF TDI

SADD_10 SADD_9 SADD_8 SADD_7 SADD_6 SADD_5 SADD_4

D

PCM_DATA_IN WIRE_SDI EXT_FIG CONF TDI TMS TCK NTRST NBSCAN ARM_BOOT MPU_NRESET CTS1 CTS2 RX1 RX2 BT_MCLK_REQ BT_PCM_DIN COM_PCM_DIN COM_PCM_SYNC COM_MCLK_REQ COM_SPI_DIN NFRDY OSC32K_IN OSC32K_OUT OSC1_IN OSC1_OUT RTS1 TX1 COM_SPI_XSYNC COM_SPI_CLKR COM_SPI_CLKX COM_SPI_RSYNC FDATA(15:0)

AA3

R20

B13

P12

Y21

Y20

B20

J21

M2

A3

A9

VDD1_1

VDD1_2

VDD2_1

VDD2_2

VDD3_1

VDD3_2

VDD3_3

NTRST STATIC_VALID ARM_BOOT MPU_NRESET CTS1 CTS2 RX1 RX2 UARTS_CLKREG MCSI1_DIN MCSI2_DIN MCSI2_SYNC COM_MCLK_REQ MCBSP2_DIN WAIT_FRDY OSC32K_IN OSC32K_OUT OSC1_IN OSC1_OUT RTS1 TX1 MCBSP2_XSYNC MCBSP2_CLKR MCBSP2_CLKX MCBSP2_RSYNC FDATA_15

VDD3_4

VDD_2

VDD_3

VDD_1

VDD_4

VDDA

TCK

Y1

F2

TMS

SADD_3 SADD_2 SADD_1 SADD_0 VSS_1 VSS_2 VSS_3 VSS_4 VSS_5 VSS_6 VSS_7 A11 A13 A21 AA1 AA21 AA7 B1 B16 B18 B2 B5 B7 E2 F20 G1 J20 E5 LCD_VSYNC

VDDSHV1_1 VDDSHV1_2 VDDSHV1_3 VDDSHV2 VDDSHV3_1 VDDSHV4_1 VDDSHV4_2 VDDSHV4_3 VDDSHV4_4 VDDSHV4_5 VDDSHV5_7 VDDSHV5_6 VDDSHV5_5 VDDSHV5_4 VDDSHV5_3 VDDSHV5_2 VDDSHV5_1

A15 A19 E21 AA2 Y7 A1 A5 A7 B10 B12 C2 E1 H2 L1 P3 R1 V2

VDDSHV1_1 VDDSHV1_2 VDDSHV1_3 VDDSHV2 VDDSHV3 VDDSHV4_1 VDDSHV4_2 VDDSHV4_3 VDDSHV4_4 VDDSHV4_5 VDDSHV5_1 VDDSHV5_2 VDDSHV5_3 VDDSHV5_4 VDDSHV5_5 VDDSHV5_6 VDDSHV5_7

U2000 OMAP1510

VSS_8 VSS_9 VSS_10 VSS_11 VSS_12 VSS_13 VSS_14 VSS_15 VSS_16

VSS_4 VSS_5 VSS_8 VSS_22 VSS_15 VSS_19 VSS_28 VSS_6 VSS_7 VSS_1 VSS_2 VSS_3 VSS_27 VSS_9 VSS_26 VSS_10

LCD_HSYNC LCD_AC LCD_PCLK LCD_PIXEL_15 LCD_PIXEL_14 LCD_PIXEL_13 LCD_PIXEL_12 LCD_PIXEL_11 LCD_PIXEL_10 LCD_PIXEL_9 LCD_PIXEL_8

VSSA

NC1

AA11

L21

W20

Y16

U21

Y3

V5

Y15

V12

U20

R21

K20

U2

N1

K2

E

o M
COM_SHUTDOWN UARTS_CLKIO NRESET_OUT MCBSP3_CLK MCSI1_DOUT

i b

LCD_PIXEL_7 LCD_PIXEL_6 LCD_PIXEL_5 LCD_PIXEL_4 LCD_PIXEL_3 LCD_PIXEL_2 LCD_PIXEL_1 LCD_PIXEL_0 KBC_5 KBC_4 KBC_3 KBC_2 KBC_1

h lc
G13 C17 D17 C18 B19 A20 H13 G14 C19 B21 D18 C20 C21 E18 D19 D20 F18 H18 H19 M18 L14 T18 W21 V19 N14 P15 AA19 D9 D16

s ip
15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 5 4 3 2 1 0

LCD_VSYNC LCD_HSYNC LCD_AC LCD_PCLK LCD_PIXEL(15:0)

D

VDDSHV6

VDDSHV7

VDDSHV8

VDDSHV9

VSS_26

VSS_25

VSS_24

VSS_23

VSS_22

VSS_21

VSS_20

VSS_19

VSS_18

VSS_17

KBC(5:0)

E

VDDSHV6_1 VDDSHV1_6 VDDSHV1_4 VDDSHV1_5

FDATA_14 FDATA_13 FDATA_12 FDATA_11 FDATA_10 FDATA_9 FDATA_8 FDATA_7 FDATA_6 FDATA_5

VSS_14

VSS_21 VSS_16 VSS_20 VSS_17 VSS_13 VSS_23 VSS_12 VSS_24 VSS_11 VSS_25

KBC_0 MCBSP1_DOUT CAM_EXCLK TX3 RX3 SCL WIRE_SDO WIRE_SCLK WIRE_NSCS0 COM_MCLK_OUT MCBSP2_DOUT WIRE_NSCS3 TDO SDCLK_EN

PCM_DATA_OUT CAM_EXCLK TX RX SCL WIRE_SDO WIRE_SCLK WIRE_NSCS0 WIRE_NSCS3 TDO SDCLK_EN

FDATA_4 FDATA_3 USB_PUEN CAM_LCLK FADD_10 FADD_11 FADD_12 FADD_13 FADD_14 FADD_15 FADD_16 FADD_17 FADD_18 FADD_19 FADD_22 FADD_23 FADD_20 FADD_21 FADD_24 USB_DM FDATA_2 FDATA_1 SDA FDATA_0 USB_DP FADD_2 FADD_3 FADD_4 FADD_5 FADD_6 FADD_7 FADD_8 FADD_9 NFADV BDCLK2 FADD_1 NFCS_0 NFCS_1 NFCS_2 NFCS_3 NFBE_0 NFBE_1 SDCLK

MCSI2_DOUT

F

F

MMC_CMD

NFWP

J15

MMC_CLK

NFWE

NFOE

NFRP

RTS2

TX2

W15

L3

P9

V6

V4

V20

Y4

Y9

P11

V11

Y13

W14

10

11

12

13

14

15

16

17

18

19

20

21

22

H H

1

2

3

NFADV NFBE_0 NFBE_1 NFCS_0 NFCS_1 NFCS_2 NFCS_3 NFOE NFRP NFWE NFWP USB_DM USB_DP USB_CLK0 TI_RESERVED6 TX2 RTS2 COM_SPI_DOUT COM_MCLK_OUT COM_PCM_OUT MEDIA_CS MEDIA_CLK BT_MCLK_OUT BT_PCM_OUT NRESET_OUT TI_RESERVED4 COM_SHUTDOWN

SDA CAM_LCLK SDCLK FADD(23:0)

G

23

0

1

2

3

4

5

6

7

8

9

W16

AA5

P14

W1

W4

W5

W2

W9

E4

K8

K7

L8

K4

E3

C9

G4

G3

G2

K3

F4

F3

L7

J8

N8

M8

M7

M3

M4

C1

H4

D3

D2

H3

U4

J2

J4

J3

J7

J1

R8

L4

G

4

5

6

7

8

VMEM_1.875V B+ R3206
0662057M01

1 2 3 6 C3205 4.7uF
2187906N01

EN VIN1 VIN2 FB

U3206 TPS62021
5188128Y01

SW1 SW2 CTGND

7 8

L3206 10uH
2485063F04

R3003 SHORT 1 C3210 22pF C3207 10uF 2

SHORT_RES0201

0

PGND1

GND

C3206 0.1uF

5

MODE

PGND2

R3210 180K
0662057V34

VMAIN_1.55V
(POG Core)

C3208 0.1uF

2113928C12

10

11

4

9

OV Protection Charger Path
D3964 RAW_EXT_B+ EXT_B+
GND_RF

B+
GND_BB GND_BB

R3211 82K
0662057V25

C3211 100pF

GND_BB

Current Sense
RAW_BATT+

BP_STBY_b
GND_BB

C3951 4.7uF

D3963

EXT_B+ D3961DNP
4809924D24

R3001
0662057M01

VOMAP_1.6V VMEM_1.875V VRF_DIG_1.875V VGPS_1.875V
(SDRAM Cores, Flash Cores) (Harmony Dig, SW2 Dig, PrimSyn Dig)
Q3000
4809807C42

R3961 20m

Q3960
4862830F01

Q3961
4862830F01

D3962DNP

BATT+

Q3963
4809807C42

Q3964
4862830F01

0

R3960 0.24

C3003 0.1uF

C3001 10uF
2113928C12

C3000 4.7uF
2187906N01

R3002DNP
0662057M01

VHOLD_OUT 4

0

U3021 LP3983 1 VIN ENABLE GND VOUT MGND 3

4809924D24

B+

C3962 0.1uF R3963 200K R5405DNP 200K

Placeholder (Actual = 0.01ohm)

C3960 10uF
2113928C12

VHOLD_EXT_EN

GND_SW1

E3960 SHORT 1 1
GND_BB

2

2

TP3004 BP_STDBY
GND_RF

1 C3022 0.1uF WATCHDOG C3021 10uF
2113928C12

AP_STDBY

VHOLD_EXT_EN

2113743M24

2187906N01

C3023 10uF
2113928C12

C3024 4.7uF
2187906N01

U9560 10uF
2113928C12

2113946D02

5

TEST_POINT

C3020 4.7uF

C3026 1.0uF

C3027 4.7uF
2187906N01

TEST_POINT TP3003

TP3090 TEST_POINT 1

VLVIO_1.875V
GND_SW23

VHVIO_2.775V RAW_BATT+

Battery Contacts
RAW_BATT+

GND_BB

R3101

Q3001
4809807C42

GND_BB GND_BB

BATT_DETB

R3962 5.6K

RESET_OUT*

PCAP_MCU_RESET*

PCAP_RESET*

C3007DNP 0.1uF POWER_FAIL USER_OFF

TP3092 TEST_POINT 1 MUXCTL

BL_SINK

TEST_POINT

ON_OFF*

LEDG

0662057M90

0662057M50

B+

BATT_IO
C5404 0.1uF R4213 10K C5403 33pF
2113743N38

4 1

SHORT_RES0201

VHVIO_2.775V
SHORT E4210

1

1

VS5402DNP 6.8V
4809788E17

NEG

THERMISTOR

2 D1 G1 Q5403DNP S1
GND_BB

1

POS

EEPROM

3

D2

BATT_FDBK R3995 200K

U9532 0.1uF C3008DNP 0.1uF
2113743M24

B+

J5400 CONTACT

G2

S2

GND_BB

MOD

R5402 100

R5404DNP 200K

TP3005

100K R3990

INT_SEC

0662057M01

GND_BB

R3997 100K

LEDR

R5401 4.7K

PCAP_INT

R3205DNP 0

BL2_SINK

C3102 0.1uF

C3101 10uF
2113928C12

C3100 10uF
2113928C12

0662057M01 0

VBOOST_5.5V
R3350

VHOLD_EXT_EN

GND_SW23

C3350 1.0uF
2113946D02

0662057M01 0

VCAM_1.875V

BATT_FDBK_SW
GND_BATT

TP3000 TEST_POINT 1 TEST_POINT 1

R5304 3.3

CR5401
4809948D42

2

R5403 0

GND_BB GND_BB

TP3001

R3998 200K
GND_BB

R3965 SHORT
SHORT_RES0201

R3250 0 C3106 4.7uF
GND_BB

R3151

2

2187906N01

3.3K R5053

5

U4211 TC7SZ08FU C4218 33pF C4219 0.1uF

GND_RF

BATT+ BATT_I POWER_FAIL USR_OFF MOD

OV_SENSE OV_GATE MOBPORTB ISENSE CHRGC CHRGC_2

UV_SEL RESETB RESETMCU_B INT_PRI INT_SEC ON

ON2 BATT_FDBK BATT_DET_IN BATT_DETB

MUX_CTRL

STANDBY STANDBY2 WDI

VSW1 VSW2 VOUT+ VOUTVREF+ VREF-

VCC

C5053 1.0uF FLASH_12V EOLI
GND_RF

C5405 0.1uF
2113928N01

C3006 4.7uF
GND_SW23
2187906N01

C3250 1.0uF
GND_BB

C3150 1.0uF
2113946D02

0662057M01 0

VA_2.775V

BOB_VSS1 BOB_VSS2 BOB_VDD1 BOB_VDD2 BOB_BUCKN BOB_BUCKP BOB_BOOSTN BOB_BOOSTP

MAIN_FET MID_RATE2

BL_SINK BL_FB BL2_SINK LEDR LEDG

4

OUTY GND

INB INA

1 2 0 R4214

AP_RTS*

AUDIO_AMP_EN_OMAP AUDIO_AMP_EN_POG

VS5403 15KV

VPP EOLI

B+
GND_BB GND_SW1

R3029 27K

2113946D02

GND_RF

TEMP_SENSE

B2

B1

U4210 TPA2010D1

GND_BB

R4211 100K

PVDD

C4213 0.1uF

VDD

GND_BB GND_RF

LOGIC_SENSE
AD_TRIG

J4210 J4210 J4210

3 2 1

A3 C3

VONEG VOPOS

INNEG INPOS SHUTDOWN

C1 A1 C2

AD4 AD5 AD6 AD7 AD8 AD9 THERM_BIAS AD_TRIG
PGA_OUTL

L3000 10uH
2485063F04

GND_RF

PSRC1 LX1 FB1 PSRC2 LX2 FB2 PGND1 PGND2 PSRC3 LX3 FB3

PCAP_LX1

PCAP_SW1_OUT
C3005 0.1uF
2113743M24

C3050 1.0uF
2113946D02

R3050 0

VLVIO_1.875V

(POG I/O, Mem I/O, BT I/O)

3

L3020 10uH
2485063F04

PCAP_LX2

CR3000
4809924D18

PCAP_SW2_OUT
33pF C3028 2.2K R3028 D3100
GND_BB

C3025 0.1uF

B+

Place holders for AVX parts
C4356 22uF
2113928Z11

2113743M24CR3020 4809924D18

GND2

L4211DNP L4212DNP 15nH 15nH
4809948D49

GND1

B3

A2

VS4210DNP L4210DNP 39nH 15KV C4215 33pF C4211 33pF
GND_RF

R4212 100K C4352DNP 33pF
2113743N38

ARIGHT_OUT

C4217 ASAP_TX_PCAP 33pF TX

G

PGA_OUTL MIC2_TX2 TX MCLK FSYNC0 BITCLK0