Text preview for : 601tcf.pdf part of some brands - algumas marcas some schematic motherboards notebooks downloaded from www.freeservicemanuals.net. alguns esquemas placa-mae e notebook baixados de www.freeservicemanuals.net.



Back to : Notebook_MB schematic.par | Home

1

2

3

4

A

VIA Apollo ProMedia Board Schematics
TITLE
COVER SHEET SOCKET 370 PROCESSOR NORTH BRIDGE VT8601/A SOUTH BRIDGE VT82C686A/B CLOCK SYNTHESIZER

601TCF

A

SHEET No.
1 2,3 4,5 6,7 8
B

B

AGTL+ BUS AND PULL UP RESISTORS SDRAM DIMM SLOTS 1/2 PCI SLOT1 & PCI SLOT2 PCI SLOT3 & USB 2/3 ISA SLOT & SYSTEM ROM & AMR SLOT IDE CONNECTORS & WAKE UP CIRCUITRY FRONT PANEL & BACK PANEL CONNECTOR (USB 0/1)
C

9 10 11 12 13 14 15
C

FAN CONTROL CIRCUITRY & VGA CONNECTOR AC'97 AUDIO CODEC & AUDIO PORTS DC-DC CONVERTERS ATX POWER CONNECTORS & BYPASS CAPACITORS

16 17 18 19

D

D

VIA TECHNOLOGIES ASSUMES NO RESPONSIBILITY FOR ANY ERRORS IN DRAWING THESE SCHEMATICS. THESE SCHEMATICS ARE SUBJECT TO CHANGE AT ANY TIME WITHOUT NOTICE. COPYRIGHT 2000 VIA TECHNOLOGIES INCORPORATED.
1 2 3

JETWAY INFORMATION
Title COVER SHEET Size Document Number Custom Date: Thursday, March 21, 2002
4

601TCF Sheet 1 of 19

Rev 1.0

1

2

3

4

HD[0..63] HA[3..31] HA3 HA4 HA5 HA6 HA7 HA8 HA9 HA10 HA11 HA12 HA13 HA14 HA15 HA16 HA17 HA18 HA19 HA20 HA21 HA22 HA23 HA24 HA25 HA26 HA27 HA28 HA29 HA30 HA31 -ADS -DRDY -DBSY -HTRDY -HREQ0 -HREQ1 -HREQ2 -HREQ3 -HREQ4 -BREQ0 -BPRI -BNR -HLOCK -HIT -HITM -DEFER -RS0 -RS1 -RS2 R170 1K AK8 AH12 AH8 AN9 AL15 AH10 AL9 AH6 AK10 AN5 AL7 AK14 AL5 AN7 AE1 Z6 AG3 AC3 AJ1 AE3 AB6 AB4 AF6 Y3 AA1 AK6 Z4 AA3 AD4 AN31 AN27 AL27 AN25 AK18 AH16 AH18 AL19 AL17 AN29 AN17 AH14 AK20 AL25 AL23 AN19 AH26 AH22 AK28 C35 E35 G33 E37 X4 AH4 J37 A35 -FERR_ -IGNNE -A20M PICD0 PICD1 APICCLK INTR NMI -SMI_ -STPCLK -SLP -FLUSH -CPUINIT AC35 AG37 AE33 J35 L35 J33 M36 L37 AJ35 AG35 AH30 AE37 AG33 AE35 E33 F18 K4 R6 V6 AD6 AK12 AK22 AD36 Z36 AB36 AH20 AK16 AL13 AL21 N37 AM2 X34 U4 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 A20 A21 A22 A23 A24 A25 A26 A27 A28 A29 A30 A31 ADS DRDY DBSY TRDY REQ[0] REQ[1] REQ[2] REQ[3] REQ[4] BR0 BPRI BNR LOCK HIT HITM DEFER RS[0] RS[1] RS[2] BPM[0] BPM[1] BP[2] BP[3] RESET/GND* RESET CMOS I/O PREQ GTL PRDY FERR IGNNE A20M PICD[0] PICD[1] PICCLK INTR/LINT[0] NMI/LINT[1] SMI STPCLK SLP FLUSH INIT IERR VREF0 VREF1 VREF2 VREF3 VREF4 VREF5 VREF6 VREF7/VCMOSREF* VCC_1.5V/VTT* VCC_2.5V/RSV* VCC_CMOS/VTT* VTT VTT VTT VTT RSV/NCHCTRL* RSV/GND* VCC2/VTT* SOCKET 370_A GTL D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D12 D13 D14 D15 D16 D17 D18 D19 D20 D21 D22 D23 D24 D25 D26 D27 D28 D29 D30 D31 D32 D33 D34 D35 D36 D37 D38 D39 D40 D41 D42 D43 D44 D45 D46 D47 D48 D49 D50 D51 D52 D53 D54 D55 D56 D57 D58 D59 D60 D61 D62 D63 BCLK *BCLK_/CLKREF BSEL0 PWRGOOD BSEL1 THERMDP THERMDN CMOS I/O * F o r intel FC-PGA2 cpu CPUPRES *VTT/EDGCTRL THERMTRIP VID[0] VID[1] VID[2] VID[3] *VID_25MV/GND TCK TDI TDO TMS TRST PLL1 PLL2 RSRVD48 RSRVD49 RSRVD51 VTT VTT VTT *VTT/RSV W1 T4 N1 M6 U1 S3 T6 J1 S1 P6 Q3 M4 Q1 L1 N3 U3 H4 R4 P4 H6 L3 G1 F8 G3 K6 E3 E1 F12 A5 A3 J3 C5 F6 C1 C7 B2 C9 A9 D8 D10 C15 D14 D12 A7 A11 C11 A21 A15 A17 C13 C25 A13 D16 A23 C21 C19 C27 A19 C23 C17 A25 A27 E25 F16 W37 Y33 AJ33 AK26 AJ31 AL31 AL29 C37 AG1 AH28 AL35 AM36 AL37 AJ37 AK36 AL33 AN35 AN37 AK32 AN33 W33 U33 E21 E27 S35 AN11 AN15 G35 G37

HD[0..63]

4,9

4,9 HA[3..31]

SOCKET 370
GND A37 AB32 AC5 AC33 AD2 AD34 AF32 AG5 AH2 AH34 AJ7 AJ11 AJ15 AJ19 AJ23 AJ27 AL3 AM6 AM10 AM14 AM18 AM22 AM26 AM30 AM34 B4 B8 B12 B16 B20 B24 B28 B32 D2 D4 D18 D22 D26 D30 D34 E7 E11 E15 E19 F20 F24 F28 F32 F36 G5 H2 H34 K36 L5 M2 M34 P32 P36 Q5 R34 T32 T36 U5 V2 V34 X32 X36 Y5 Y37 Z2 Z34 VCC2 AA5 AB2 AA37 AB34 AD32 AE5 AF2 AF34 AH24 AH32 AH36 AJ5 AJ9 AJ13 AJ17 AJ21 AJ25 AJ29 AK2 AK34 AM4 AM8 AM12 AM16 AM20 AM24 AM28 B6 AM32 B10 B14 B18 B22 B26 B30 B34 C3 D6 D20 D24 D28 D32 E5 E9 E13 E17 F2 F4 F14 F22 F26 F30 F34 H32 H36 J5 K2 K32 K34 M32 N5 P2 P34 R32 R36 S5 T2 T34 V32 V36 W5 Y35 Z32 D36 C3

A

4,9 -ADS 4,9 -DRDY 4,9 -DBSY 4,9 -HTRDY 4,9 -HREQ[0..4]

B

4,9 -BREQ0 4,9 -BPRI 4,9 -BNR 4,9 -HLOCK 4,9 -HIT 4,9 -HITM 4,9 -DEFER 4,9 -RS[0..2]

4,9

-CPURST

-CPURST C155 .1u R100 330

VCMOS

9 -FERR_ 6,9 -IGNNE 6,9 -A20M
C

HD0 HD1 HD2 HD3 HD4 HD5 HD6 HD7 HD8 HD9 HD10 HD11 HD12 HD13 HD14 HD15 HD16 HD17 HD18 HD19 HD20 HD21 HD22 HD23 HD24 HD25 HD26 HD27 HD28 HD29 HD30 HD31 HD32 HD33 HD34 HD35 HD36 HD37 HD38 HD39 HD40 HD41 HD42 HD43 HD44 HD45 HD46 HD47 HD48 HD49 HD50 HD51 HD52 HD53 HD54 HD55 HD56 HD57 HD58 HD59 HD60 HD61 HD62 HD63 CLKREF

-SMI_ -STPCLK -SLP -CPUINIT INTR -FERR_ -IGNNE -A20M VTT

C213 C214 C215 C216 C217 C218 C219 C220

NC-56P NC-56P NC-56P NC-56P NC-56P
A

NC-56P NC-56P NC-56P

R108 75 1%

Place 0603 Package C 1 52,C153 near AB36 PIN CB16 CB17 CB20 .1u .1u .1u CPUVREF CB27

R107 150 1% U s e 0603 Packages and d i stribute within 500 m i ls of CPUVREF inputs ( 1 cap for every 1 inputs)

.1u

CPUVREF CB25 .1u VCC2_5 CB18 CB21 .1u .1u CB26 .1u

B

R290 150 1% CLKREF C221 10U_SMD R291 150 1%

C222 NC-18P CPUCLK CPWOK 18 -BSEL1 8 8
C

CMOS I/O

9 PICD0 9 PICD1 8 APICCLK 6,9 INTR 6,9 NMI 9 -SMI_ 6,9 -STPCLK 6,9 -SLP 9 -FLUSH 6,9 -CPUINIT 330 R269

-BSEL0 8

VCMOS R289 330 VID0 VID1 VID2 VID3 VID4 PIN_AG1 3 VID0 VID1 VID2 VID3 VID4 18 18 18 18 18 VCC2 CB203 .1u L20 4.7uH

VCMOS

CPUVREF R175 75 1% VCMOSREF C162 .1u VTT VCMOSREF

TC27 SLEWCTRL 9 RTTCTRL 9 VTT 10UF_SMD C212 .1u

R183 150 1%

D

C226 .1u

C225 .1u

C224 .1u

3

NCHCTRL

D

JETWAY INFORMATION
Title SOCKET 370 PROCESSOR Size Document Number Custom Date:
1 2 3

601TCF Sheet
4

Rev 1.0 2 of 19

Thursday, March 21, 2002

A

B

C

D

E

VTT VTT AA33 AA35 AN21 E23 S33 S37 U35 U37 AL1 AJ3 AN3 AK4 AF36 A29 U4B VTT VTT VTT VTT VTT VTT VTT VTT GND/RSV* GND/RSV* GND/DYN_OE* GND/VTT_PWGD* GND/CPUDET* DEP7 SOCKET 370_B D

C84 0.1U

C105 1U

4

4

DYN_OE 18 VTT_PWGD VTT_PWGD R176 1K PIN_AF36

Q29 2N7002 TUAL5 G S R133 1K NCHCTRL 2

TUAL5: TUALATIN:HI SET AG1 = VTT COPPERMINE:LO SET AG1 = GND

2 VTT R174 R121 1K 14 1% DYN_OE NCHCTRL

PIN_AG1

PIN_AG1

3

3

R149 VTT
2

2.2K
2

TUAL5 D R122 2.2K R120 1K G S

TUAL5

8

Q30 2N7002

TUAL5: TUALATIN:HI COPPERMINE:LO

PIN_AF36

C Q22 MMBT3904 E

B

-TUAL5

-TUAL5

18

AF36: TUALATIN:HI COPPERMINE:LO

-TUAL5: TUALATIN:LO COPPERMINE:HI

1

1

JETWAY INFORMATION
Title SOCKET 370 PROCESSOR(PART-2) Size Document Number Custom Date:
A B C D

601TCF Sheet
E

Rev 1.0 3 of 19

Thursday, March 21, 2002

1

2

3

4

2,9 HA[3:31] HA31 HA30 HA29 HA28 HA27 HA26 HA25 HA24 HA23 HA22 HA21 HA20 HA19 HA18 HA17 HA16 HA15 HA14 HA13 HA12 HA11 HA10 HA9 HA8 HA7 HA6 HA5 HA4 HA3 A25 D24 B25 B26 E23 C26 C24 A23 C25 D22 B24 D25 F22 C23 D21 A20 C22 A21 B23 A22 B21 E20 B22 B19 C20 A24 B20 D20 C21 VCC3

RN101 7 5 VCC3 3 1 4.7K 8P4R

8 6 4 2

1 1 1

TP5 TP6 TP7 VCC2_5 L24 ADVDD_V1 FB ADVDD_V1 CM31 TC42 C97 1u 10u 1000p

V21 AA18 AA9 V6 J6

Y5 AB1 AA4 AA5 AA3 AB2 AB3 AB4 AC1 AC2 AC3 AD1 AD2 AD3 AE1

U6

A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 A20 A21 A22 A23 A24 A25 A26 A27 A28 A29 A30 A31

VCC VCC VCC VCC VCC

A

B

C

HD0 HD1 HD2 HD3 HD4 HD5 HD6 HD7 HD8 HD9 HD10 HD11 HD12 HD13 HD14 HD15 HD16 HD17 HD18 HD19 HD20 HD21 HD22 HD23 HD24 HD25 HD26 HD27 HD28 HD29 HD30 HD31 HD32 HD33 HD34 HD35 HD36 HD37 HD38 HD39 HD40 HD41 HD42 HD43 HD44 HD45 HD46 HD47 HD48 HD49 HD50 HD51 HD52 HD53 HD54 HD55 HD56 HD57 HD58 HD59 HD60 HD61 HD62 HD63

VMD49 VMD50 VMD51 VMD52 VMD53 VMD54 VMD55 VMD56 VMD57 VMD58 VMD59 VMD60 VMD61 VMD62 VMD63

2,9 HD[0:63]

HD0 HD1 HD2 HD3 HD4 HD5 HD6 HD7 HD8 HD9 HD10 HD11 HD12 HD13 HD14 HD15 HD16 HD17 HD18 HD19 HD20 HD21 HD22 HD23 HD24 HD25 HD26 HD27 HD28 HD29 HD30 HD31 HD32 HD33 HD34 HD35 HD36 HD37 HD38 HD39 HD40 HD41 HD42 HD43 HD44 HD45 HD46 HD47 HD48 HD49 HD50 HD51 HD52 HD53 HD54 HD55 HD56 HD57 HD58 HD59 HD60 HD61 HD62 HD63 -ADS -BNR -BPRI -DBSY -DEFERR -DRDY -HLOCK -HITM -HIT -BREQ0 -HTRDY -CPURST -RS0 -RS1 -RS2 -HREQ0 -HREQ1 -HREQ2 -HREQ3 -HREQ4 GTLVREF CM60 1u C94 1000p 1u (BOT) C154 CM59 1u

E19 B18 B16 A16 C18 C17 D18 D15 D17 C16 B17 D16 A17 A15 E16 D19 A14 E18 E17 B14 C15 E14 B11 D14 B15 D13 C13 E9 C12 D12 E15 A13 B12 B13 A12 E13 D11 D10 A11 E10 E8 C9 D9 C11 B10 A10 E7 D8 B8 C10 B6 B9 F8 D6 D7 C7 E5 A7 E6 B7 C6 D5 A6 A8 J24 D26 E26 H26 F26 J23 G23 G26 G24 J25 G25 A19 H23 K23 H25 E24 F23 F24 F25 E25 E12 E21

RED GREEN BLUE HSYNC VSYNC SDA SCL COMP IRSET

C2 D3 D2 E2 E1 F2 F3 E4 E3 V1 V2 U5 V3 T5 U4 T6 U2 V5 W3 V4 Y3 AA2 Y4 W4 W5 F5 F4 U6 M2 M3 R6 T2 T1 R5 R2 R4 R1 R3 P5 P2 P3 P4 N5 N2 N1 N4 T3 U1 U3 G1 H5 F1 G4 H3 G3 G5 G2 H2 H1 J2 J1 H4 K6 J4 J3 L5 K2 J5 K1 K3 L6 L2 K5 L1 L3 M6 K4 M4 M5 M1

RED GREEN BLUE HSYNC VSYNC SDA SCL COMP .1u CP10 IRSET 360 1% R116

RED GREEN BLUE HSYNC VSYNC SDA SCL AVDD_V3 GND_V3

16 16 16 16 16 16 16

A

VCC2_5 L22 AVDD_V3 FB AVDD_V3 C96 CM30 TC41 1000p GND_V3 L23 FB 1u 10u GND_V3

TVD0/VMD44 TVD1/VMD45 TVD2/VDQM4 TVD3/VMD46 TVD4/VDQM5 TVD5/VMD42 TVD6/VDQM7 TVD7/VDQM6 TVHS//VMD43 TVVS/VMD48 TVCLK/VMD47 VLF1 VLF2 XTLI XTLO INTA SUSPEND ENTEST 5VSF IMIO/VMD22 IMIIN/VMD26 CAPD0/VSWE CAPD1/VDQM2 CAPD2/VDQM1 CAPD3/VSRAS CAPD4/VMA1 CAPD5/VSCAS CAPD6/VMA0 CAPD7/VMA2 CAPD8/VMA10 CAPD9/VMA5 CAPD10/VMA3 CAPD11/VMA4 CAPD12/VMA9 CAPD13/VMA6 CAPD14/VMA7 CAPD15/VMA8 CAPHS/VMDCLK CAPVS/VDQM0 CAPCLK/VDQM3 ENPBLT/VMD1 ENPVEE/VMD2 ENPVDD/VMD0 SFCLK/VMD13 DE/VMD11 FLM/VMD3 LP/VMD15 PD0/VMD14 PD1/VMD12 PD2/VMD4 PD3/VMD10 PD4/VMD6 PD5/VMD9 PD6/VMD28 PD7/VMD7 PD8/VMD5 PD9/VMD27 PD10/VMD8 PD11/VMD31 PD12/VMD16 PD13/VMD17 PD14/VMD20 PD15/VMD29 PD16/VMD18 PD17/VMD19 PD18/VMD21 PD19/VMD23 PD20/VMD30 PD21/VMD24 PD22/VMA11 PD23/VMD25

VLF1 VLF2 XLTI

1

C79 560p C81 560p 2 GUICLK S5 R114 4.7K

VCC2_5 GND_V1 GND_V2 GUICLK 8 -INTR_A 6,11,12 VCC3 L26 FB C80 1000p ADVDD_V2 CM33 1u TC44 10u
B

-INT_A -SUSPEND -ENTEST IMIIN

VCC2_5 L27 FB C78 1000p L28 FB VCC2_5 L30 FB C82 1000p L29 FB AVDD_V2 CM35 1u TC47 10u GND_V2
C

AVDD_V1 TC45 CM34 1u 10u

GND_V1

IMIIN

R115 4.7K VCC3 R165 4.7K

VCC3

D

VTT R117

75 1% R228 150 1%

GTLVREF GTLVREF

VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT AA15 F16 GND L11 GND N11 GND P11 GND T11 GND M12 GND N12 GND P12 GND R12 GND L13 GND GND W1 W2 ADVDD_V2 Y1 AVDD_V1 Y2 AGND_V1 AA1 AVDD_V2 A1 AGND_V2 B1 AGND_V4 C1 AGND_V3 D1 AVDD_V3 ADVDD_V1 A5 B5 VMD32 C5 VMD33 A4 VMD34 B4 VMD35 C4 VMD36 A3 VMD37 B3 VMD38 C3 VMD39 A2 VMD40 VMD41

2,9 -ADS 2,9 -BNR 2,9 -BPRI 2,9 -DBSY 2,9 -DEFER 2,9 -DRDY 2,9 -HLOCK 2,9 -HITM 2,9 -HIT 2,9 -BREQ0 2,9 -HTRDY 2,9 -CPURST 2,9 -RS0 2,9 -RS1 2,9 -RS2 2,9 -HREQ0 2,9 -HREQ1 2,9 -HREQ2 2,9 -HREQ3 2,9 -HREQ4

ADS BNR BPRI DBSY DEFER DRDY HLOCK HITM HIT BREQ0 HTRDY CPURST RS0 RS1 RS2 HREQ0 HREQ1 HREQ2 HREQ3 HREQ4

-SUSPEND S3 GPO1 6

D

F19 E11 F7 F9 F10 F12 F17 F18 F20 G21 J21 K21

VT8601T

VTT

JETWAY INFORMATION
Title NORTH BRIDGE VT8601 (PART1) Size C Date:
3

ADVDD_V1 AVDD_V3 GND_V3 GND_V4

CM58 1u

CM57 1u

Under NB

CP9 1000p
1

ADVDD_V2 AVDD_V1 GND_V1 AVDD_V2 GND_V2
2

Document Number 601TCF Thursday, March 21, 2002
4

Rev 1.0 Sheet 4 of 19

GND_V4

1

2

3

4

10

MD[0:63]

M23 K25 L26 L25 M26 M24 N26 N24 P23 P25 R23 R25 P22 T23 T25 T22 AD22 AF22 AB21 AE21 AB20 AD20 AE20 AC19 AF19 AC18 AE18 AD17 AF17 AB17 AE16 AC16 K26 L23 M22 L24 M25 N23 N25 N22 P26 P24 R26 R24 R22 T26 T24 U23 AE22 AC21 AD21 AF21 AC20 AF20 AB19 AE19 AB18 AD18 AA19 AE17 AC17 AD16 AF16 AB16

MD63 MD62 MD61 MD60 MD59 MD58 MD57 MD56 MD55 MD54 MD53 MD52 MD51 MD50 MD49 MD48 MD47 MD46 MD45 MD44 MD43 MD42 MD41 MD40 MD39 MD38 MD37 MD36 MD35 MD34 MD33 MD32 MD31 MD30 MD29 MD28 MD27 MD26 MD25 MD24 MD23 MD22 MD21 MD20 MD19 MD18 MD17 MD16 MD15 MD14 MD13 MD12 MD11 MD10 MD9 MD8 MD7 MD6 MD5 MD4 MD3 MD2 MD1 MD0 U6A AD0 AD1 AD2 AD3 AD4 AD5 AD6 AD7 AD8 AD9 AD10 AD11 AD12 AD13 AD14 AD15 AD16 AD17 AD18 AD19 AD20 AD21 AD22 AD23 AD24 AD25 AD26 AD27 AD28 AD29 AD30 AD31 CBE0 CBE1 CBE2 CBE3 REQ0 REQ1 REQ2 REQ3 REQX PREQ GNT0 GNT1 GNT2 GNT3 GNTX PGNT FRAME PLOCK PAR SERR TRDY IRDY STOP DEVSEL PCLK CLKRUN AVDD AVDD AGND AGND AF14 AE14 AE13 AF13 AC14 AB14 AC13 AB13 AE12 AD12 AB12 AC12 AF11 AE11 AD11 AC11 AA8 AC9 AF8 AE8 AE7 AB8 AF7 AC8 AC7 AB7 AF6 AE6 AD6 AC6 AB6 AF5 AF12 AB11 AD9 AD7 AC5 AD5 AE4 AD4 AF2 AC15 AB5 AF4 AF3 AE3 AE2 AD15 AE9 AE5 AB10 AF10 AD10 AC10 AE10 AB9 AB15 AF15 H22 H21 L22 L21 A_D0 A_D1 A_D2 A_D3 A_D4 A_D5 A_D6 A_D7 A_D8 A_D9 A_D10 A_D11 A_D12 A_D13 A_D14 A_D15 A_D16 A_D17 A_D18 A_D19 A_D20 A_D21 A_D22 A_D23 A_D24 A_D25 A_D26 A_D27 A_D28 A_D29 A_D30 A_D31 C_-BE0 C_-BE1 C_-BE2 C_-BE3 -REQ0 -REQ1 -REQ2 -REQ3 -REQX -PREQ -GNT0 -GNT1 -GNT2 -GNT3 -GNTX -PGNT -FRAME -PLOCK PAR -SERR -TRDY -IRDY -STOP -DEVSEL NPCLK -CLKRUN C95 1000p A_D0 A_D1 A_D2 A_D3 A_D4 A_D5 A_D6 A_D7 A_D8 A_D9 A_D10 A_D11 A_D12 A_D13 A_D14 A_D15 A_D16 A_D17 A_D18 A_D19 A_D20 A_D21 A_D22 A_D23 A_D24 A_D25 A_D26 A_D27 A_D28 A_D29 A_D30 A_D31 C_-BE0 C_-BE1 C_-BE2 C_-BE3 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12 6,11,12
A

VCC2_5 U6B J9 J10 J11 J12 J15 J16 J17 J18 K9 K18 L9 L18 M9 M18 R9 R18 T9 T18 U9 U18 V9 V10 V11 V12 V15 V16 V17 V18 VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VT8601T VCC VCC VCC GND GND GND GND GND GND GND GND GND GND GND R21 AA11 AA16 F11 F15 J13 J14 N9 N18 P9 P18 V13 V14 AA12

VCC3

10 10 10 10 10 10 10 10 10 10 10 10 10 10 10 10 10 10 10

MA0 MA1 MA2 MA3 MA4 MA5 MA6 MA7 MA8 MA9 MA10 MA11 MA12 MA13 MA14 -RAS0 -RAS1 -RAS2 -RAS3

MA0 MA1 MA2 MA3 MA4 MA5 MA6 MA7 MA8 MA9 MA10 MA11 MA12 MA13 MA14 RAS0 RAS1 RAS2 RAS3 RAS4 RAS5 CAS0 CAS1 CAS2 CAS3 CAS4 CAS5 CAS6 CAS7

-RAS0 -RAS1 -RAS2 -RAS3

Y26 Y25 Y24 Y23 Y22 W21 V23 W23 AF24 AE23 W26 W25 AD23 AF23 AA24 AA25 AA26 U22 V25 V24 U24 U25 U26 G22 J22 K22 AC22 K24 E22 AD14 AE15 V22 W22 AB22 AA22 M21 M13 N13 P13 R13 T13 L14 M14 N14 P14 R14 T14 T21

B

10 10 10 10 10 10 10 10 10 10 10 10 10 10 10

-CAS0 -CAS1 -CAS2 -CAS3 -CAS4 -CAS5 -CAS6 -CAS7 -SRAS -SCAS CKE3 CKE1 -SWE CKE2 CKE0

-CAS0 -CAS1 -CAS2 -CAS3 -CAS4 -CAS5 -CAS6 -CAS7 -SRAS -SCAS CKE3 CKE1 -SWE CKE2 CKE0 HCLK DCLKO_ DCLKI -SUSSTNT PLLTEST

MD0 MD1 MD2 MD3 MD4 MD5 MD6 MD7 MD8 MD9 MD10 MD11 MD12 MD13 MD14 MD15 MD16 MD17 MD18 MD19 MD20 MD21 MD22 MD23 MD24 MD25 MD26 MD27 MD28 MD29 MD30 MD31 MD32 MD33 MD34 MD35 MD36 MD37 MD38 MD39 MD40 MD41 MD42 MD43 MD44 MD45 MD46 MD47 MD48 MD49 MD50 MD51 MD52 MD53 MD54 MD55 MD56 MD57 MD58 MD59 MD60 MD61 MD62 MD63

A

MA0 MA1 MA2 MA3 MA4 MA5 MA6 MA7 MA8 MA9 MA10 MA11 MA12 MA13 MA14

AA23 AB23 AB26 AB25 AB24 AC26 AC25 AC24 AD26 AD25 AE26 AD24 AE24 AE25 AF25

B

SRASA SRASB/CKE5 SRASC/CKE4 SCASA SCASB/CKE3 SCASC/CKE1 SWEA SWEB/CKE2 SWEC/CKE0 CCLK DCLKO DCLKI SUS_ST PLLTEST CRESET POWORK RESETX VSUS33 VSUS33 VSUS33 VSUS25 GND GND GND GND GND GND GND GND GND GND GND GND GND

6,11,12 6,11,12 6,11,12 6,11,12

-REQ0 11 -REQ1 11 -REQ2 12 -PREQ 6 -GNT0 11 -GNT1 11 -GNT2 12 -PGNT 6 -FRAME 6,11,12 -PLOCK 11,12 PAR 6,11,12 -SERR 6,11,12 -TRDY 6,11,12 -IRDY 6,11,12 -STOP 6,11,12 -DEVSEL 6,11,12 NPCLK 8 -CLKRUN 6 AVDD_N L54 CM64 TC51 1u AGND 10u

8 HCLK 8 DCLKI 6 -SUSSTNT 6,19

PW_GOOD PW_GOOD -RESETX 7 -RESETX V_DIM D4 2V5_SB

C

NC-SM17 C551 C550

C

1UF(BOT) 1UF(BOT)

FB L55 FB

VCC2_5

M15 N15 GND P15 GND R15 GND L16 GND N16 GND P16 GND T16 GND P1 GND AF1 GND B2 GND N3 GND D4 GND AC4 GND F6 GND N6 GND P6 GND AA6 GND C8 GND AD8 GND A9 GND AF9 GND F13 GND AA13GND GND AD13 C14 GND F14 GND AA14GND A18 GND AF18GND C19 GND GND AD19 F21 GND N21 GND P21 GND AA21GND D23 GND GND AC23 H24 GND W24 GND A26 GND J26 GND V26 GND AF26GND L12 GND L15 GND M11 GND M16 GND R11 GND R16 GND T12 GND T15 GND GND G6 H6 VCC W6 VCC Y6 VCC AA7 VCC AA10VCC AA17VCC AA20VCC U21 VCC Y21 VCC L4 VCC T4 VCC VCC

VT8601T VCC3

V_DIM R265 22 (Near NB)
D

8 DCLKO

DCLKO_

R312 R313

120 120

2V5_SB R314

ANODE 3 431 1 REF 2 CATHODE
-REQ3 -REQX -GNTX -GNT3 2 4 6 8 RN1000 1 3 5 7 4.7K 8P4R

VCC3
D

CB401 10p 0 D18 C98 10p DCLKI SC431CSK R315 CB400 NC-22p (BOT) R227 4.7K P L A CE THESE COMPONENTS NEAR NB PLLTEST NC-0

JETWAY INFORMATION
Title NORTH BRIDGE VT8601T (PART 2) Size C Date: Document Number Rev 0.1 5 of 19

601TCF Thursday, March 21, 2002 Sheet
4

1

2

3

1

2

3

4

U17A PD_0 PD_1 PD_2 PD_3 PD_4 PD_5 PD_6 PD_7 PD_8 PD_9 PD_10 PD_11 PD_12 PD_13 PD_14 PD_15 PD_A0 PD_A1 PD_A2 PCS_1 PCS_3 -PACK PDREQ -PIOR -PIOW PRY A_D0 A_D1 A_D2 A_D3 A_D4 A_D5 A_D6 A_D7 A_D8 A_D9 A_D10 A_D11 A_D12 A_D13 A_D14 A_D15 A_D16 A_D17 A_D18 A_D19 A_D20 A_D21 A_D22 A_D23 A_D24 A_D25 A_D26 A_D27 A_D28 A_D29 A_D30 A_D31 C_-BE0 C_-BE1 C_-BE2 C_-BE3 -FRAME -IRDY -TRDY -STOP -DEVSEL -SERR PAR A_D18 -PREQ -PGNT -PCIRST -INTR_A -INTR_B -INTR_C -INTR_D SPCLK 2 P16 P18 P20 R17 R19 T16 T18 T20 T19 T17 R20 R18 R16 P19 P17 N20 M17 M19 M18 L20 M16 M20 N19 N17 N18 N16 L17 L16 K20 K19 K18 K17 K16 J20 J18 J17 J16 H20 H19 H18 H17 H16 F16 E20 E19 E18 E17 D20 D19 D18 B20 A20 A19 B19 A18 B18 C18 A17 J19 G20 F17 C19 F18 F19 F20 G17 G16 G18 G19 C20 L18 L19 B16 A16 D17 C17 B17 E16 Y5 W5 1 R9 R10 Y6 H15 J15 K15 M15 N15 R7 R8 R11 R14 PDD0 PDD1 PDD2 PDD3 PDD4 PDD5 PDD6 PDD7 PDD8 PDD9 PDD10 PDD11 PDD12 PDD13 PDD14 PDD15 PDA0 PDA1 PDA2 PDCS1 PDCS3 PDDACK PDDREQ PDIOR PDIOW PDRDY AD0 AD1 AD2 AD3 AD4 AD5 AD6 AD7 AD8 AD9 AD10 AD11 AD12 AD13 AD14 AD15 AD16 AD17 AD18 AD19 AD20 AD21 AD22 AD23 AD24 AD25 AD26 AD27 AD28 AD29 AD30 AD31 C_BE0 C_BE1 C_BE2 C_BE3 FRAME IRDY TRDY STOP DEVSEL SERR PAR IDSEL REQ GNT PCIRST PINTA PINTB PINTC PINTD PCICLK RTCX1 RTCX2 TSEN1 VCCSUS VCCSUS VBAT VCC VCC VCC VCC VCC VCC VCC VCC VCC VT82C686A VREF TSEN2 FAN1 FAN2/GPIOB/GPIO9 VCCHWM GNDHWM SDD0/BITCLK SDD1/SDIN SDD2/SDIN2 SDD3/SYNC SDD4/SDOUT SDD5/-ACRST SDD6/JBY SDD7/JBX SDD8/JAY SDD9/JAX SDD10/JAB2 SDD11/JAB1 SDD12/JBB2 SDD13/JBB1 SDD14/MSO SDD15/MSI SDA0 SDA1 SDA2 SDCS1 SDCS3 SDDACK SDDREQ SDIOR SDIOW SDRDY A20M CPURST FERR IGNNE INIT INTR NMI SLP/GPO7 SMI STPCLK SMBCLK SMBDATA PWRGD CLKRUN SPKR GPI1/IRQ8 GPIOA/GPIO8 *MCCS/GPIOD GPO0 CPUSTP/GPO4 PCISTP/GPO5 SUSST1/GPO6 SUSCLK EXTSMI RING/GPI7 PME/GPI5/THRM BATLOW/GPI2 PWRBTN RSMRST LID/APICREQ/GPI3 SMBALT/GPI6 SUSA/APICACK/GPO1 SUSB/APICCS/GPO2 SUSC W18 V17 Y17 V16 Y16 U15 W15 U14 Y15 V15 T15 W16 U16 W17 Y18 Y19 U19 V18 U20 U17 U18 V19 Y20 W19 W20 V20 Y7 V8 V7 Y8 T6 W8 U7 T7 U6 W7 U9 T9 W6 W12 V5 W11 T14 U8 T8 Y12 V12 V10 T10 Y10 V11 T11 U11 Y11 V6 U10 W10 V9 W9 Y9 BITCLK_ SDIN_ SDIN2_ SYNC 22 SDOUT 22 22 -ACRST JBCY JBCX JACY JACX JAB2 JAB1 JBB2 JBB1 MSO MSI BITCLK_ 13,17 SDIN_ 13,17 SDIN2_ 13 SYNC 13,17 SDOUT 13,17 -ACRST 13,17 JBCY 17 JBCX 17 JACY 17 JACX 17 JAB2 17 JAB1 17 JBB2 17 JBB1 17 MSO 17 MSI 17

I 2 C C LK & I2CDATA PULL UP RESISTOR S H OULD PLACE TRACE END RN1001 4.7K 8P4R VCC3 I2CCLK GPIOD I2CDATA GPIOA -PGNT -PREQ -CLKRUN R143 R142 R161 1 3 5 7 2 4 6 8 10K 10K 100 RN61 SCS_1 SCS_3 SD_A0 SD_A2 7 5 3 1 33 8P4R 8 -SDCS1 6 -SDCS3 4 SDA0 2 SDA2

R151 R152 R146

-SDCS1 14 -SDCS3 14 SDA0 14 SDA2 14

A

14 -SDIOW 14 -SDDACK 14 -SDIOR 14 SDA1

-SDIOW -SDDACK -SDIOR SDA1 RN62

2 4 6 8

1 3 5 7

-SIOW -SACK -SIOR SD_A1

A

33 8P4R

SD_A0 SD_A1 SD_A2 SCS_1 SCS_3 -SACK SDREQ -SIOR -SIOW SRY -A20M -FERR -IGNNE -CPUINIT INTR NMI -SLP -SMI -STPCLK I2CCLK I2CDATA PW_GOOD -CLKRUN SPEAK PD33_-66 GPIOA GPIOD

3V3_SB PD33_-66 R164 4.7K SDREQ SRY R145 R144 82 SDDREQ 82 SIORDY SDDREQ 14 SIORDY 14

B

5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12 5,11,12

A_D0 A_D1 A_D2 A_D3 A_D4 A_D5 A_D6 A_D7 A_D8 A_D9 A_D10 A_D11 A_D12 A_D13 A_D14 A_D15 A_D16 A_D17 A_D18 A_D19 A_D20 A_D21 A_D22 A_D23 A_D24 A_D25 A_D26 A_D27 A_D28 A_D29 A_D30 A_D31 C_-BE0 C_-BE1 C_-BE2 C_-BE3

-A20M 2,9 -FERR 9 -IGNNE 2,9 -CPUINIT 2,9 INTR 2,9 NMI 2,9 -SLP 2,9 -SMI -STPCLK 2,9 I2CCLK 8,10 I2CDATA 8,10 PW_GOOD 5,19 -CLKRUN 5 SPEAK 13,15,17 PD33_-66 14 R169 4.7K VCC3 3V3_SB 5 -SUSSTNT 1N4148 9 3V3_SB SD33_-66 -BATLOW SUS_CLK -RI -PME -EXTSMI -SMBALT -SUSST 3V3_SB 1 3 5 7 1 3 5 7 2 4 6 8 2 4 6 8 RN67 10K 8P4R RN68 10K 8P4R

IR 1 2 3 4 5 IRRX IRTX

IR

IRRX 7 IRTX 7

HEADER 1X5 3V3_SB
B

R163 4.7K 15,18 PW_BN R162 68 -PWRBTN C137 .1u

R530 10K D20 -SUSST VCC3 SPEAK 1K R195 N O TE: SECOND IDE BUS IS A S SIGNED TO AUDIO/GAME 3V3_SB PDD0 PDD11 PDD2 PDD3 PDD6 PDD5 PDD8 PDD7 PDD4 PDD9 PDD10 PDD12 PDD13 PDD1 PDD14 PDD15 8 6 4 2 8 6 4 2 8 6 4 2 8 6 4 2 7 5 3 1 7 5 3 1 7 5 3 1 7 5 3 1 RN55 7 5 3 1 PD_0 PD_11 PD_2 PD_3 PD_6 PD_5 PD_8 PD_7 PD_4 PD_9 PD_10 PD_12 PD_13 PD_1 PD_14 PD_15

GPO4 GPO5 -SUSST SUS_CLK -EXTSMI -RI -PME -BATLOW -PWRBTN -RSMRST SD33_-66 -SMBALT GPO1 -SUSB -SUSC

-EXTSMI 15 -RI 14 -PME 11,12 -RSMRST 18 SD33_-66 14 GPO1 4 -SUSB 18,19 -SUSC 18,19 +12V

5,11,12 5,11,12 5,11,12 5,11,12
C

-RSMRST R11

10K

RN58 33 8P4R RN60 33 8P4R RN59 33 8P4R RN57

5,11,12 -FRAME 5,11,12 -IRDY 5,11,12 -TRDY 5,11,12 -STOP 5,11,12 -DEVSEL 5,11,12 -SERR 5,11,12 PAR 5,11,12 A_D18 5 -PREQ 5 -PGNT 11,12 -PCIRST 4,11,12 -INTR_A 11,12 -INTR_B 11,12 -INTR_C 11,12 -INTR_D 8 SPCLK C153 10p

C

GND GND GND GND GND IN12 IN5 IN2A IN2B CHAS/GPIOC/GPIO10

F15 G15 L15 P15 R15 VCC2 Y14 W14 U13 V13 V14 W13 R148 T13 R147 Y13 T12 U12 R12 CB78 R13 .1u TC56 10u HM_GND CPUFAN1 CPUFAN2 CPUFAN1 CPUFAN2

VCC3 VCC2_5 R157 10K R158 53K 1% R155 10K 1% CM71 CM72 R156 RT2 10K 1% 10K 1% RT1 1u 1u NC-0 L35 HM_GND 14 -PDCS3 14 -PDIOR 14 -PDIOW 14 -PDDACK 14 PDDREQ 14 PIORDY R159 10K 1% C138 .1u R160 16K 1% C136 .1u 33 8P4R 14 PDD[0:15] 14 14 14 PDA0 PDA1 PDA2 14 -PDCS1

PDA0 PDA1 PDA2 -PDCS1

8 6 4 2

PD_A0 PD_A1 PD_A2 PCS_1

3V3_SB

X2 32.768KHz A2 D5 C
D

C152 JBAT1 1

10p

3V3_SB 2 V_BAT TC57 10u VCC3

103JT-025 L21

RN56 -PDCS3 8 -PDIOR 6 -PDIOW 4 -PDDACK 2 PDDREQ PIORDY

33 8P4R 33 8P4R 7 PCS_3 5 -PIOR 3 -PIOW 1 -PACK 82 PDREQ 82 PRY

t

3 A1 BAT54C

16 16 VCC3 L37 L36 FB

103JT-025

R251 R248

t

D

R166 1K

C BAT54C A1 A2

JETWAY INFORMATION
Title SOUTH BRIDGE VT82C68A (PART 1) Size C Date:
3

FB

BAT1

Document Number 601TCF Thursday, March 21, 2002
4

Rev 1.0 Sheet 6 of 19

1

2

1

2

3

4

U17B SD_D0 SD_D1 SD_D2 SD_D3 SD_D4 SD_D5 SD_D6 SD_D7 SD_D8 SD_D9 SD_D10 SD_D11 SD_D12 SD_D13 SD_D14 SD_D15 SA16 SA17 SA18 SA19 SA20 SA21 SA22 SA23 SD0 SD1 SD2 SD3 SD4 SD5 SD6 SD7 SD8 SD9 SD10 SD11 SD12 SD13 SD14 SD15 -DACK0 -DACK1 -DACK3 -DACK5 -DACK6 -DACK7 DREQ0 DREQ1 DREQ3 DREQ5 DREQ6 DREQ7 AEN BALE -SBHE -REFRESH -IOR -IOW -MEMR -MEMW -SMEMR -SMEMW -IOCS16 -MEMCS16 IOCHRDY -IOCHCK TC RSTDRV SIO_OSC R194 33 IRRX IRTX IRQ3 IRQ4 IRQ5 IRQ7 IRQ9 IRQ10 IRQ11 IRQ14 IRQ15 -SOE
D

A

13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13

SA16 SA17 SA18 SA19 SA20 SA21 SA22 SA23 SD0 SD1 SD2 SD3 SD4 SD5 SD6 SD7 SD8 SD9 SD10 SD11 SD12 SD13 SD14 SD15

W1 V2 V1 U3 U2 U1 T4 T3 T2 T1 R5 R4 R3 R2 R1 P5 P4 P3 K2 K1 J5 J4 J3 J2 Y1 Y2 W2 Y3 W3 V3 Y4 W4 L5 M2 M4 N1 N3 N5 P1 P2 L2 E1 D2 L4 M3 N2 L3 E2 D3 M1 M5 N4 B2 H2 F2 E3 D1 C2 U4 V4 A1 B1 F3 F1 A2 F4 H1 J1 E4 H5 D12 E12 G4 G3 G2 G1 F5 H4 K3 K4 L1 K5 T5 U5 F7 F10 F12 F13 F14 H6 J6 K6 M6 N6

*SA0/SDD0 *SA1/SDD1 *SA2/SDD2 *SA3/SDD3 *SA4/SDD4 *SA5/SDD5 *SA6/SDD6 *SA7/SDD7 *SA8/SDD8 *SA9/SDD9 *SA10/SDD10 *SA11/SDD11 *SA12/SDD12 *SA13/SDD13 *SA14/SDD14 *SA15/SDD15 SA16 SA17 SA18 SA19 LA20 LA21 LA22 LA23 SD0 SD1 SD2 SD3 SD4 SD5 SD6 SD7 SD8 SD9 SD10 SD11 SD12 SD13 SD14 SD15 DACK0 DACK1 DACK3 DACK5 DACK6 DACK7 DRQ0 DRQ1 DRQ3 DRQ5 DRQ6 DRQ7 AEN BALE SBHE REFRESH IOR IOW MEMR MEMW SMEMR SMEMW IOCS16 MEMCS16 IOCHRDY IOCHK/GPI0 TC RSTDRV OSC BCLK IRRX/GPO15 IRTX/GPO14 IRQ3 IRQ4 IRQ5 *IRQ6/SLPBTN IRQ7 IRQ9 IRQ10 IRQ11 IRQ14 IRQ15 XDIR/GPO12 *SCIOUT/SOE/GPO13 VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VT82C686A

PRD0 PRD1 PRD2 PRD3 PRD4 PRD5 PRD6 PRD7 ACK BUSY PE SLCT ERROR PINIT AUTOFD SLCTIN STROBE TXD1 DTR1 RTS1 CTS1 DSR1 DCD1 RI1 RXD1 TXD2 DTR2 RTS2 CTS2 DSR2 DCD2 RI2 RXD2 VCCUSB

B15 D15 A14 B14 C14 D14 E14 A13 B13 C13 D13 E13 A15 C15 C16 E15 D16 A11 D11 B11 C11 C12 A12 E11 B12 D10 B9 E10 A9 C10 A10 C9 B10 F9

P_PRD0 P_PRD1 P_PRD2 P_PRD3 P_PRD4 P_PRD5 P_PRD6 P_PRD7

14 SDD[0:7] P_PRD0 P_PRD1 P_PRD2 P_PRD3 P_PRD4 P_PRD5 P_PRD6 P_PRD7 15 15 15 15 15 15 15 15 SDD7 SDD6 SDD5 SDD4 SDD3 SDD2 SDD1 SDD0

RN54 33 8P4R RN53 33 8P4R 8 6 4 2 8 6 4 2

U21 7 5 3 1 7 5 3 1 SD_D7 SD_D6 SD_D5 SD_D4 SD_D3 SD_D2 SD_D1 SD_D0 2 3 4 5 6 7 8 9 1 19 A0 A1 A2 A3 A4 A5 A6 A7 B0 B1 B2 B3 B4 B5 B6 B7 18 17 16 15 14 13 12 11 SA7 SA6 SA5 SA4 SA3 SA2 SA1 SA0 SA7 SA6 SA5 SA4 SA3 SA2 SA1 SA0 13 13 13 13 13 13 13 13

P_-ACK 15 P_BUSY 15 P_PE 15 P_SLCT 15 P_-ERR 15 P_-INIT 15 P_-AFD 15 P_-SLIN 15 P_-STB 15 TXD1 DTR1 RTS1 CTS1 DSR1 DCD1 RI1 RXD1 TXD2 DTR2 RTS2 CTS2 DSR2 DCD2 RI2 RXD2 USB_VCC CB91 TC58 10u L57 USBCLK 8 FB TXD1 DTR1 RTS1 CTS1 DSR1 DCD1 RI1 RXD1 TXD2 DTR2 RTS2 CTS2 DSR2 DCD2 RI2 RXD2 L38 15 15 15 15 15 15 15 15 15 15 15 15 15 15 15 15

A

-MASTER -SOE

DIR OE NC-F245 U18

14

SDD[8:15] SDD13 SDD15 SDD14 SDD12 SDD11 SDD10 SDD9 SDD8 4 8 6 2 8 6 4 2 33 8P4R 13 -MASTER RN52B RN52D RN52C RN52A RN51D RN51C RN51B RN51A 3 7 5 1 7 5 3 1 SD_D13 SD_D15 SD_D14 SD_D12 SD_D11 SD_D10 SD_D9 SD_D8 2 3 4 5 6 7 8 9 1 19

A0 A1 A2 A3 A4 A5 A6 A7

B0 B1 B2 B3 B4 B5 B6 B7

18 17 16 15 14 13 12 11

SA13 SA15 SA14 SA12 SA11 SA10 SA9 SA8

SA13 SA15 SA14 SA12 SA11 SA10 SA9 SA8

13 13 13 13 13 13 13 13

-MASTER -SOE

DIR OE NC-F245

Thomas Hsu VCC3 FB SD_D0 SD_D1 SD_D2 SD_D3 2 4 6 8 RN2019 1 SA0 3 SA1 5 SA2 7 SA3 NC-0X4 RN2020 1 SA4 3 SA5 5 SA6 7 SA7 NC-0X4 RN2021 1 SA8 3 SA9 5 SA10 7 SA11 NC-0X4 RN2022 1 SA12 3 SA14 5 SA15 7 SA13 NC-0X4

B

13 13 13 13 13 13 13 13 13 13 13 13

-DACK0 -DACK1 -DACK3 -DACK5 -DACK6 -DACK7 DREQ0 DREQ1 DREQ3 DREQ5 DREQ6 DREQ7

GNDUSB *USBCLK *USBP0+ *USBP0*USBP1+ *USBP1*DRQ2/OC1/SERIRQ/GPIOE *DACK2/OC0/GPIOF *USBP2+ *USBP2*USBP3+ *USBP3*KBCK/KA20G KBDT/KBRC MSCK/IRQ1 MSDT/IRQ12 *ROMCS/KBCS DRVDEN0 DRVDEN1 INDEX MTR0 DS1 DS0 MTR1 DIR STEP WDATA WGATE TRAK00 WRTPRT RDATA HDSEL DSKCHG GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND

F8 C3 A3 B3 C4 D4 H3 G5 A4 B4 B5 E6 E5 A5 D5 C5 C1 D9 D6 D7 E9 A8 B8 C8 D8 E8 A7 B7 E7 A6 B6 C7 C6 F6 F11 G6 J9 J10 J11 J12 K9 K10 K11 K12 L6 L9 L10 L11 L12 M9 M10 M11 M12 P6 R6

.1u USB_GND USBCLK USBDT0+ USBDT0USBDT1+ USBDT1-OC1 -OC0 USBDT2+ USBDT2USBDT3+ USBDT3KB_CLK KB_DATA MS_CLK MS_DATA -ROMCS 1 S4 2

SD_D8 SD_D9 SD_D10 SD_D11

2 4 6 8

SD_D0 SD_D1 SD_D2 SD_D3

SA0 SA1 SA2 SA3

SD_D8 SD_D9 SD_D10 SD_D11

SA8 SA9 SA10 SA11

B

SD_D4 SD_D5 SD_D6 SD_D7

2 4 6 8

SD_D12 SD_D14 SD_D15 SD_D13

2 4 6 8

SD_D4 SD_D5 SD_D6 SD_D7

SA4 SA5 SA6 SA7

SD_D12 SD_D14 SD_D15 SD_D13

SA12 SA14 SA15 SA13

-OC1 12 -OC0 15

C

13 AEN 13 BALE 13 -SBHE 13 -REFRESH 13 -IOR 13 -IOW 13 -MEMR 13 -MEMW 13 -SMEMR 13 -SMEMW 13 -IOCS16 13 -MEMCS16 13 IOCHRDY 13 -IOCHCK 13 TC 8 SIO_OSC 13 SYS_CLK 13 IRQ6 VCC3 R188 10K R186 0 R185 NC-0 6 IRRX 6 IRTX 13 IRQ3 13 IRQ4 13 IRQ5 13 IRQ7 13 IRQ9 13 IRQ10 13 IRQ11 13,14 IRQ14 13,14 IRQ15

KB_CLK 15 KB_DATA 15 MS_CLK 15 MS_DATA 15 -ROMCS 13 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 FDD VCC R266 2 4 6 8 330 RN100 1 3 5 7 330 8P4R 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 VCC3 R197 4.7K * S et INIT low active.

USBDT2USBDT2+ USBDT3+ USBDT3-

1 3 5 7

RN1002 2 4 6 8 27 8P4R

USBD2- 12 USBD2+ 12 USBD3+ 12 USBD3- 12

C93 47p

C92 47p

C140 C141 47p 47p
C

USBDT0USBDT0+ USBDT1USBDT1+

8 6 4 2

RN1003 7 5 3 1 27 8P4R

USBD0- 15 USBD0+ 15 USBD1- 15 USBD1+ 15

C144 C145 C142 C143 47p 47p 47p 47p

RSTDRV

U24D U24C 5 6 9 8 F04 F04 U24A 1 2 R203 F04 U24B 3 4 R204 F04

R202 33 33 33

RES_DRV -RESETX -IDERST

RES_DRV -RESETX 5

13

-IDERST 14

D

-SLPBTN 15 VCC3

JETWAY INFORMATION
Title SOUTH BRIDGE VT82C686A (PART 2) Size C Date: Document Number 601TCF Thursday, March 21, 2002
4

Rev 1.0 7 of 19

Sheet

1

2

3

1

2

3

4

VCC3_CLK R138 L3
A

10K

CK_VDD1 SPCLK NPCLK PCICLK2 PCICLK1 PCICLK0 SPCLK 6 NPCLK 5 PCICLK2 PCICLK1 PCICLK0 12 11 11
A

FB0603-31 L34 VCC3 CK_VDD1 NC-FB TC53 10u C127 .1u C117 .1u C120 .1u C116 .1u C122 .1u C121 .1u C115 .1u 1 6 14 19 30 36 27 48 42 C112 .1u C113 .1u C114 .1u 3 9 16 22 33 39 45 23 24 4 5 USBCLK ISA_OSC GUICLK SIO_OSC R135 R137 R136 R130 22 22 22 22 FS1 FS0 25 26 2 46 U7 VDD1 VDD2 VDD2 VDD3 VDD3 VDD3 VDD4 VDDL1 VDDL2 GND GND GND GND GND GND GND SDATA SCLK X1 X2 24M/FS1 48M/FS0 REF0/PCI_SP REF1/FS2 W83194BR-39B MODE/PCI_F PCI0/FS3 PCI1 PCI2 PCI3 PCI4 BUFFER IN IOAPIC SDRAM0 SDRAM1 SDRAM2 SDRAM3 SDRAM4 SDRAM5 SDRAM6 SDRAM7 SDRAM8 SDRAM9 SDRAM10 SDRAM11 SDRAM_F CPU0 CPU1 CPU_SP 7 8 10 11 12 13 15 47 38 37 35 34 32 31 29 28 21 20 18 17 40 44 43 41

FS3

R139 R140 1 RN40 3 5 7

22 22 2 22 8P4R 4 6 8

R141 R129 R513 R512

0 33 22 22

DCLKO

DCLKO 5

APICCLK R171 120 Q15 G 2N7002 D

APICCLK

2

VCC2_5

L56 CK_VDD2 FB

SDCLK3 SDCLK2

SDCLK3 SDCLK2

10 10

R1

1K

TUAL5 3

RN2023 1 3 5 7 R516 R517 R134 R131 R132

2 22 8P4R 4 6 8 22 22 22 33 33

SDCLK0 SDCLK1 SDCLK4 SDCLK5 SDCLK6 SDCLK7 DCLKI HCLK CPUCLK

SDCLK0 SDCLK1 SDCLK4 SDCLK5 SDCLK6 SDCLK7 DCLKI

10 10 10 10 10 10

T U A L A TIN SET APICCLK 2V C O P P E R M INE SET APICCLK 2.5V

6,10 I2CDATA 6,10 I2CCLK
B

I2CDATA I2CCLK

2

C119 X3 14.31818MHZ

12p

S

B

5

C118 12p 7 USBCLK 13 ISA_OSC 4 7 GUICLK SIO_OSC

1

HCLK 5 CPUCLK 2

FS2

VCC3 VCC3 RN43 1 3 5 7 10K 8P4R R300 220 R301 220 100_-66 133_-100

NPCLK SPCLK PCICLK0

C129 C128 C130 C131 C132

22p 22p 47p 47p 47p

SDCLK3 SDCLK2 SDCLK0 SDCLK1 SDCLK4 SDCLK5

C103 C104 1 3 5 7

10p 10p CP11 2 4 6 8
C

C

FS0 FS1 FS2 FS3

2 4 6 8

PCICLK1 PCICLK2

10P_8P4C SDCLK6 SDCLK7 HCLK JMP1 2 -BSEL0 2 -BSEL1 -BSEL0 -BSEL1 1 3 5 7 2 4 6 8 100_-66 133_-100 CPUCLK USBCLK ISA_OSC HEADER_2X4 SIO_OSC GUICLK C100 C101 C111 C126 C99 C125 10p 10p DCLKO 22p 22p 22p 22p C133 NC-10p DCLKI C124 C135 C102 10p 10p NC-22p

JMP1 7-8 OFF
D

5-6 OFF ON OFF OFF

3-4 ON OFF OFF OFF

1-2 ON OFF OFF OFF

CPU AUTO
D

ON ON OFF

66 100 133

JETWAY INFORMATION
Title CLOCK SYNTHESIZER Size C Date: Document Number 601TCF Thursday, March 21, 2002
4

Rev 1.0 8 of 19

Sheet

1

2

3

1

2

3

4

VCC2_5
A A

R92 150 F O R FUTURE CPU v o ltage translation -FERR C MMBT3904 VCMOS R101 8.2K Q10 B E -FERR 6

-FERR_ VCMOS 150 R94

2

B

B

VTT HD61 HD56 HD60 HD50 HD62 HD53 HD58 HD46 HD54 HD55 HD57 HD63 HD59 HD48 HD52 HD40 HD47 HD41 HD49 HD51 HD42 HD27 HD44 HD45 HD39 HD37 HD36 HD38 HD43 HD34 HD22 HD28 2,4 HD[0:63] 2 4 6 8 2 4 6 8 2 4 6 8 2 4 6 8 2 4 6 8 2 4 6 8 2 4 6 8 2 4 6 8 1 3 5 7 1 3 5 7 1 3 5 7 1 3 5 7 1 3 5 7 1 3 5 7 1 3 5 7 1 3 5 7 HD31 HD32 HD29 HD35 HD19 HD33 HD25 HD26 HD16 HD23 HD21 HD24 HD30 HD7 HD3 HD20 HD13 HD11 HD14 HD2 HD9 HD18 HD12 HD10 HD17 HD8 HD5 HD1 HD4 HD15 HD6 HD0 2 4 6 8 2 4 6 8 2 4 6 8 2 4 6 8 2 4 6 8 2 4 6 8 2 4 6 8 2 4 6 8

VTT 1 3 5 7 1 3 5 7 1 3 5 7 1 3 5 7 1 3 5 7 1 3 5 7 1 3 5 7 1 3 5 7 2,4 -CPURST RN15 56 8P4R RN24 56 8P4R RN25 56 8P4R RN26 56 8P4R RN27 56 8P4R RN28 56 8P4R RN29 56 8P4R RN30 56 8P4R -CPURST HA26 HA29 HA18 HA27 HA30 HA24 HA20 HA23 HA17 HA22 HA31 HA19 HA25 HA21 HA28 HA15 HA10 HA12 HA13 HA16 HA5 HA3 HA6 HA9 HA11 HA8 HA4 -HREQ1 HA7 -BNR HA14 2 4 6 8 2 4 6 8 2 4 6 8 2 4 6 8 2 4 6 8 2 4 6 8 2 4 6 8 2 4 6 8

VTT 1 3 5 7 1 3 5 7 1 3 5 7 1 3 5 7 1 3 5 7 1 3 5 7 1 3 5 7 1 3 5 7 VTT T h o m as Hsu Removed CT24 100uF CAP RN31 56 8P4R RN32 56 8P4R RN33 56 8P4R RN34 56 8P4R RN35 56 8P4R RN36 56 8P4R VCMOS RN37 56 8P4R RN21 56 8P4R 2 -FLUSH 2,6 -A20M 2,6 -IGNNE 2,6 -STPCLK 2 -SMI_ 2,6 NMI 2,6 INTR 2,6 -SLP -FLUSH -A20M -IGNNE -STPCLK -SMI_ NMI INTR -SLP -CPUINIT PICD0 PICD1 1 3 5 7 1 3 5 7 R97 150 R95 150 R99 150 R98 150 2 4 RN16 6 150 8P4R 8 VCMOS 2 4 6 8 NOTE: 2,4 -HREQ0 2,4 -HREQ2 2,4 -HREQ4 2,4 -BPRI 2,4 -RS1 2,4 -HLOCK 2,4 -DEFER 2,4 -HREQ3 2,4 -RS0 2,4 -HIT 2,4 -HTRDY 2,4 -HITM 2,4 -BREQ0 2,4 -RS2 2,4 -DRDY 2,4 -DBSY 2,4 -ADS -HREQ0 -HREQ2 -HREQ4 -BPRI -RS1 -HLOCK -DEFER -HREQ3 -RS0 -HIT -HTRDY -HITM -BREQ0 -RS2 -DRDY -DBSY -ADS 2 4 6 8 2 4 6 8 2 4 6 8 2 4 6 8 R109 56 1 3 5 7 1 3 5 7 1 3 5 7 1 3 5 7 RN23 56 8P4R RN20 56 8P4R RN22 56 8P4R RN19 56 8P4R VTT VTT CB10 CB6 CB11 NC-.1u (BOT) CM37 CB8 CM80 .1u .1u .1u .1u .1u C500 .1u .1u .1u CB24 CB200 CB13 CM95 CB36 CB31 .1u CB30 .1u CB35 .1u .1u .1u CB32 .1u CB33 .1u CB34 .1u .1u DECOUPLING
C

RN10 56 8P4R RN11 56 8P4R RN7 56 8P4R RN12 56 8P4R RN8 56 8P4R RN13 56 8P4R RN9 56 8P4R RN14 56 8P4R

C

V T T TERMINATION VLOTAGE NEAR SOCKET 370

T h o m a s Removed for detecting ratio by CPU

2,4 HA[3:31] 2,4 -HREQ1 2,4 -BNR

RN18 150 8P4R 2 SLEWCTRL 2 RTTCTRL

R293

110 1% (1/16W)

T h o m a s modified for detecting Ratio by CPU VCC3
D

2

VCMOS D12 BAT54C R305 NC-150 00/12/19

2,6 -CPUINIT 2 PICD0 2 PICD1

R294

110 1% (1/16W)
D

3 R358 4.7K 1 6 -SMI -SMI Near SB

R351 NC-0 Near CPU

-SMI_

JETWAY INFORMATION
Title AGTL+ PULL UP RESISTORS Size C Date: Document Number 601TCF Thursday, March 21, 2002
4

Rev 1.0 9 of 19

Sheet

1

2

3

1

2

3

4

MD[0:63] MD63 MD62 MD61 MD60 MD59 MD58 MD57 MD56 MD55 MD54 MD53 MD52 MD51 MD50 MD49 MD48 MD47 MD46 MD45 MD44 MD43 MD42 MD41 MD40 MD39 MD38 MD37 MD36 MD35 MD34 MD33 MD32 MD31 MD30 MD29 MD28 MD27 MD26 MD25 MD24 MD21 MD23 MD22 MD20 MD19 MD18 MD17 MD16 MD15 MD14 MD13 MD12 MD11 MD10 MD9 MD8 MD7 MD6 MD5 MD4 MD3 MD2 MD1 MD0 DIMM1 RAS0/S0 RAS1/S1 RAS2/S2 RAS3/S3 CAS0/DQMB0 CAS1/DQMB1 CAS2/DQMB2 CAS3/DQMB3 CAS4/DQMB4 CAS5/DQMB5 CAS6/DQMB6 CAS7/DQMB7 WE0 WE2/DU DU/CAS DU/RAS 30 114 45 129 28 29 46 47 112 113 130 131 27 48 111 115

MD[0:63]

5

M A P OWER-UP STRAPPING OPTIONS

A

QS0/DQS0 RFU/DQS1 QS2/DQS2 RFU/DQS3 QS1/DQS4 RFU/DQS5 QS3/DQS6 RFU/DQS7 RFU/DQS8

CK0 CK1 CK2 CK3 DU/VREF DU/VREF CKE0 CKE1 NC NC NC NC NC

DU/OE0 DU/OE2 167 166 SA2 165 SA1 SA0 83 82 SCL SDA

5 5 5 5 5 5 5 5 5 5 5 5 5

MA0 MA1 MA2 MA3 MA4 MA5 MA6 MA7 MA8 MA9 MA10 MA13 MA14

MA0 MA1 MA2 MA3 MA4 MA5 MA6 MA7 MA8 MA9 MA10 MA13 MA14 MA11 MA12

5 MA11 5 MA12

VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC

42 125 79 163 62 146 128 63 61 80 145 147 164

6 18 26 40 41 49 59 73 84 90 102 110 124 133 143 157 168

21 22 52 53 105 106 136 137

CB0 CB1 CB2 CB3 CB4 CB5 CB6 CB7

33 117 34 118 35 119 36 120 37 121 38 123 126 132 122 39

A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 A10(AP) A11 A12 A13 BA0 BA1

-RAS0 -RAS1 -RAS0 -RAS1 -CAS0 -CAS1 -CAS2 -CAS3 -CAS4 -CAS5 -CAS6 -CAS7 -SWE -SCAS -SRAS

D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D12 D13 D14 D15 D16 D17 D18 D19 D20 D21 D22 D23 D24 D25 D26 D27 D28 D29 D30 D31 D32 D33 D34 D35 D36 D37 D38 D39 D40 D41 D42 D43 D44 D45 D46 D47 D48 D49 D50 D51 D52 D53 D54 D55 D56 D57 D58 D59 D60 D61 D62 D63

-RAS0 5 -RAS1 5 -CAS0 5 -CAS1 5 -CAS2 5 -CAS3 5 -CAS4 5 -CAS5 5 -CAS6 5 -CAS7 5 -SWE 5 -SCAS 5 -SRAS 5

MA1 LO LO HI HI

8601 MA0 LO HI LO HI

MA0 GCLOCK DELAY 0 (CLK) 1 2 3

R216 10K

2 3 4 5 7 8 9 10 11 13 14 15 16 17 19 20 55 56 57 58 60 65 66 67 69 70 71 72 74 75 76 77 86 87 88 89 91 92 93 94 95 97 98 99 100 101 103 104 139 140 141 142 144 149 150 151 153 154 155 156 158 159 160 161

MA1

R215 10K
A

M A 6 :LO DISABLE LCD FUNCTION

MA6

R219 10K

MA5

R217 NC-10K

24 25 50 51 108 109 135 81 134

31 44

DIMM_168P I2CDATA I2CCLK MA7 HI LO Graphic Test Mode TEST MODE NORMAL MA7 R218 10K

SDCLK0 SDCLK1 SDCLK2 SDCLK3 5 CKE0 5 CKE1
B

8 8 8 8

SDCLK0 SDCLK1 SDCLK2 SDCLK3 CKE0 CKE1

V_DIM 0 R242

I2CDATA 6,8 I2CCLK 6,8

2 3 4 5 7 8 9 10 11 13 14 15 16 17 19 20 55 56 57 58 60 65 66 67 69 70 71 72 74 75 76 77 86 87 88 89 91 92 93 94 95 97 98 99 100 101 103 104 139 140 141 142 144 149 150 151 153 154 155 156 158 159 160 161

MD63 MD62 MD61 MD60 MD59 MD58 MD57 MD56 MD55 MD54 MD53 MD52 MD51 MD50 MD49 MD48 MD47 MD46 MD45 MD44 MD43 MD42 MD41 MD40 MD39 MD38 MD37 MD36 MD35 MD34 MD33 MD32 MD31 MD30 MD29 MD28 MD27 MD26 MD25 MD24 MD21 MD23 MD22 MD20 MD19 MD18 MD17 MD16 MD15 MD14 MD13 MD12 MD11 MD10 MD9 MD8 MD7 MD6 MD5 MD4 MD3 MD2 MD1 MD0 DIMM2 RAS0/S0 RAS1/S1 RAS2/S2 RAS3/S3 CAS0/DQMB0 CAS1/DQMB1 CAS2/DQMB2 CAS3/DQMB3 CAS4/DQMB4 CAS5/DQMB5 CAS6/DQMB6 CAS7/DQMB7 WE0 WE2/DU DU/CAS DU/RAS 30 114 45 129 28 29 46 47 112 113 130 131 27 48 111 115 -RAS2 -RAS3 -RAS2 -RAS3 -CAS0 -CAS1 -CAS2 -CAS3 -CAS4 -CAS5 -CAS6 -CAS7 -SWE -SCAS -SRAS -RAS2 -RAS3 5 5

MA8 LO LO HI HI

MA2 LO HI LO HI

G R APHIC CLOCK SELECT NORMAL TEST TEST TEST R45 10K MA8 R223 10K

B

QS0/DQS0 RFU/DQS1 QS2/DQS2 RFU/DQS3 QS1/DQS4 RFU/DQS5 QS3/DQS6 RFU/DQS7 RFU/DQS8

CK0 CK1 CK2 CK3 DU/VREF DU/VREF CKE0 CKE1 NC NC NC NC NC

MA0 MA1 MA2 MA3 MA4 MA5 MA6 MA7 MA8 MA9 MA10 MA13 MA14 MA11 MA12

DU/OE0 DU/OE2 167 166 SA2 165 SA1 SA0 83 82 SCL SDA

VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC

CB0 CB1 CB2 CB3 CB4 CB5 CB6 CB7

33 117 34 118 35 119 36 120 37 121 38 123 126 132 122 39

A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 A10(AP) A11 A12 A13 BA0 BA1

D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D12 D13 D14 D15 D16 D17 D18 D19 D20 D21 D22 D23 D24 D25 D26 D27 D28 D29 D30 D31 D32 D33 D34 D35 D36 D37 D38 D39 D40 D41 D42 D43 D44 D45 D46 D47 D48 D49 D50 D51 D52 D53 D54 D55 D56 D57 D58 D59 D60 D61 D62 D63

MA2

31 44

DIMM_168P I2CDATA I2CCLK

MA10 LO LO HI HI

MA9 LO HI LO HI

NBClock Delay 0 (CLK) 1 2 3

MA9

R222 10K

42 125 79 163 62 146 128 63 61 80 145 147 164

6 18 26 40 41 49 59 73 84 90 102 110 124 133 143 157 168

21 22 52 53 105 106 136 137

24 25 50 51 108 109 135 81 134

MA10
C

R221 10K
C

8 8 8 8 5 5

SDCLK4 SDCLK5 SDCLK6 SDCLK7 CKE2 CKE3

SDCLK4 SDCLK5 SDCLK6 SDCLK7 CKE2 CKE3

V_DIM R241 0

VCC3 MA11 HI LO IOQ LENGTH 1 4

MA11 R220 10K

MA12 C P U FREQ FIX AUTO MODE Thomas MA14

R225 10K V_DIM

R226 10K

D

D

JETWAY INFORMATION
Title SDRAM DIMM SLOTS Size C Date:
1 2 3

Document Number 601TCF Thursday, March 21, 2002
4

Rev 1.0 Sheet 10 of 19

1

2

3

4

PCI1
A

PCI2 TRST# +12V TMS TDI +5V INTA# INTC# +5V RESERVED +5V(I/O) RESERVED GND GND RESERVED RST# +5V(I/O) GNT GND PME AD30 +3.3V AD28 AD26 GND AD24 IDSEL +3.3 AD22 AD20 GND AD18 AD16 +3.3V FRAME# GND TRDY# GND STOP# +3.3V SDONE SBO# GND PAR AD15 +3.3V AD13 AD11 GND AD9 C/BE#0 +3.3V AD6 AD4 GND AD2 AD0 +5V(I/O) REQ64# +5V +5V 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49 51 53 55 57 59 61 63 65 67 69 71 73 75 77 79 81 83 85 87 89 91 93 95 97 99 101 103 105 107 109 111 113 115 117 119 -12V +12V 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48 50 52 54 56 58 60 62 64 66 68 70 72 74 76 78 80 82 84 86 88 90 92 94 96 98 100 102 104 106 108 110 112 114 116 118 120 -12V TCK GND TDO +5V +5V INTB# INTD# PRSNT#1 RESERVED PRSNT#2 GND GND RESERVED GND CLK GND REQ# +5V(I/O) AD31 AD29 GND AD27 AD25 +3.3V C/BE#3 AD23 GND AD21 AD19 +3.3V AD17 C/BE#2 GND IRDY# +3.3V DEVSEL# GND LOCK# PERR# +3.3V SERR# +3.3V C/BE#1 AD14 GND AD12 AD10 GND AD8 AD7 +3.3V AD5 AD3 GND AD1 +5V(I/O) ACK64# +5V +5V PCI_SLOT_124 TRST# +12V TMS TDI +5V INTA# INTC# +5V RESERVED +5V(I/O) RESERVED GND GND RESERVED RST# +5V(I/O) GNT GND PME AD30 +3.3V AD28 AD26 GND AD24 IDSEL +3.3 AD22 AD20 GND AD18 AD16 +3.3V FRAME# GND TRDY# GND STOP# +3.3V SDONE SBO# GND PAR AD15 +3.3V AD13 AD11 GND AD9 C/BE#0 +3.3V AD6 AD4 GND AD2 AD0 +5V(I/O) REQ64# +5V +5V 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49 51 53 55 57 59 61 63 65 67 69 71 73 75 77 79 81 83 85 87 89 91 93 95 97 99 101 103 105 107 109 111 113 115 117 119 +12V
A

-12V

6,12 -INTR_B 6,12 -INTR_D

-INTR_B -INTR_D VCC3

8 PCICLK0 5 -REQ0 5,6,12 A_D31 5,6,12 A_D29 5,6,12 A_D27 5,6,12 A_D25 5,6,12 C_-BE3 5,6,12 A_D23
B

PCICLK0 -REQ0 A_D31 A_D29 A_D27 A_D25 C_-BE3 A_D23 A_D21 A_D19 A_D17 C_-BE2 -IRDY -DEVSEL -PLOCK -PERR -SERR C_-BE1 A_D14 A_D12 A_D10

5,6,12 A_D21 5,6,12 A_D19 5,6,12 A_D17 5,6,12 C_-BE2 5,6,12 -IRDY 5,6,12 -DEVSEL

5,12 -PLOCK 12 -PERR 5,6,12 -SERR

5,6,12 C_-BE1 5,6,12 A_D14 5,6,12 A_D12 5,6,12 A_D10

2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48 50 52 54 56 58 60 62 64 66 68 70 72 74 76 78 80 82 84 86 88 90 92 94 96 98 100 102 104 106 108 110 112 114 116 118 120

-12V TCK GND TDO +5V +5V INTB# INTD# PRSNT#1 RESERVED PRSNT#2 GND GND RESERVED GND CLK GND REQ# +5V(I/O) AD31 AD29 GND AD27 AD25 +3.3V C/BE#3 AD23 GND AD21 AD19 +3.3V AD17 C/BE#2 GND IRDY# +3.3V DEVSEL# GND LOCK# PERR# +3.3V SERR# +3.3V C/BE#1 AD14 GND AD12 AD10 GND AD8 AD7 +3.3V AD5 AD3 GND AD1 +5V(I/O) ACK64# +5V +5V PCI_SLOT_124

-INTR_A -INTR_C VCC3

-INTR_A 4,6,12 -INTR_C 6,12

-INTR_C -INTR_A VCC3

-INTR_B -INTR_D VCC3

S7 1 2 -PCIRST -GNT0 -PME A_D30 A_D28 A_D26 A_D24 A_D19 A_D22 A_D20 A_D18 A_D16 -FRAME -TRDY -STOP

P3V3_SB -PCIRST 6,12 8 PCICLK1 -GNT0 5 -PME 6,12 A_D30 5,6,12 A_D28 A_D26 A_D24 A_D19 A_D22 A_D20 A_D18 A_D16 5,6,12 5,6,12 5,6,12 5,6,12 5,6,12 5,6,12 5,6,12 5,6,12 5 -REQ1 PCICLK1 -REQ1 A_D31 A_D29 A_D27 A_D25 C_-BE3 A_D23 A_D21 A_D19 A_D17 C_-BE2 -IRDY -DEVSEL -PLOCK -PERR -SERR

S8 1 2 -PCIRST -GNT1 -PME A_D30 A_D28 A_D26 A_D24 A_D20 A_D22 A_D20 A_D18 A_D16 -FRAME -TRDY -STOP

P3V3_SB

-GNT1 5

B

-FRAME 5,6,12 -TRDY 5,6,12 -STOP 5,6,12

PAR A_D15 A_D13 A_D11 A_D9 C_-BE0 A_D6 A_D4 A_D2 A_D0 -P1REQ64

PAR 5,6,12 A_D15 5,6,12 A_D13 A_D11 A_D9 5,6,12 5,6,12 5,6,12

C_-BE1 A_D14 A_D12 A_D10

PAR A_D15 A_D13 A_D11 A_D9 C_-BE0 A_D6 A_D4 A_D2 A_D0 -P2REQ64

5,6,12 A_D8 5,6,12 A_D7 5,6,12 A_D5 5,6,12 A_D3
C

A_D8 A_D7 A_D5 A_D3 A_D1 -P1ACK64

C_-BE0 5,6,12 A_D6 A_D4 A_D2 A_D0 5,6,12 5,6,12 5,6,12 5,6,12

A_D8 A_D7 A_D5 A_D3 A_D1 -P2ACK64

5,6,12 A_D1

C

-FRAME -IRDY -TRDY -DEVSEL

2 4 6 8

RN1004 1 3 5 7 4.7K 8P4R

-INTR_A -INTR_C -INTR_B -INTR_D

1 3 5 7

RN1006 2 4 6 8 4.7K 8P4R

-P2ACK64 -P1REQ64 -P1ACK64 -P2REQ64

2 4 6 8

RN1007 1 3 5 7 2.2K 8P4R

-REQ0 -REQ1

R48 R67

2.2K 2.2K VCC3

-GNT0 -GNT1 Thomas Hsu

R46 R47

2.2K 2.2K

D

-STOP -PLOCK -PERR -SERR

2 4 6 8

RN1005 1 3 5 7 4.7K 8P4R

Thomas Hsu
D

JETWAY INFORMATION
Title PCI1 & PCI2 SLOTS Size C Date: Document Number 601TCF Thursday, March 21, 2002
4

Thomas Hsu

Rev 1.0 11 of 19

Sheet

1

2

3

1

2

3

4

PCI3
A

-12V

6,11 -INTR_D 6,11 -INTR_B

-INTR_D -INTR_B VCC3

8

PCICLK2

PCICLK2 -REQ2 A_D31 A_D29 A_D27 A_D25 C_-BE3 A_D23 A_D21 A_D19 A_D17 C_-BE2 -IRDY -DEVSEL -PLOCK -PERR -SERR C_-BE1 A_D14 A_D12 A_D10

5 -REQ2 5,6,11 5,6,11 5,6,11 5,6,11 A_D31 A_D29 A_D27 A_D25

5,6,11 C_-BE3 5,6,11 A_D23
B

5,6,11 5,6,11

A_D21 A_D19

5,6,11 A_D17 5,6,11 C_-BE2 5,6,11 5,6,11 -IRDY -DEVSEL

5,11 -PLOCK 11 -PERR 5,6,11 -SERR

5,6,11 C_-BE1 5,6,11 A_D14 5,6,11 5,6,11 A_D12 A_D10

2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48 50 52 54 56 58 60 62 64 66 68 70 72 74 76 78 80 82 84 86 88 90 92 94 96 98 100 102 104 106 108 110 112 114 116 118 120

-12V TCK GND TDO +5V +5V INTB# INTD# PRSNT#1 RESERVED PRSNT#2 GND GND RESERVED GND CLK GND REQ# +5V(I/O) AD31 AD29 GND AD27 AD25 +3.3V C/BE#3 AD23 GND AD21 AD19 +3.3V AD17 C/BE#2 GND IRDY# +3.3V DEVSEL# GND LOCK# PERR# +3.3V SERR# +3.3V C/BE#1 AD14 GND AD12 AD10 GND AD8 AD7 +3.3V AD5 AD3 GND AD1 +5V(I/O) ACK64# +5V +5V PCI_SLOT_124

TRST# +12V TMS TDI +5V INTA# INTC# +5V RESERVED +5V(I/O) RESERVED GND GND RESERVED RST# +5V(I/O) GNT GND PME AD30 +3.3V AD28 AD26 GND AD24 IDSEL +3.3 AD22 AD20 GND AD18 AD16 +3.3V FRAME# GND TRDY# GND STOP# +3.3V SDONE SBO# GND PAR AD15 +3.3V AD13 AD11 GND AD9 C/BE#0 +3.3V AD6 AD4 GND AD2 AD0 +5V(I/O) REQ64# +5V +5V

1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49 51 53 55 57 59 61 63 65 67 69 71 73 75 77 79 81 83 85 87 89 91 93 95 97 99 101 103 105 107 109 111 113 115 117 119

+12V

A

-INTR_C -INTR_A VCC3

-INTR_C 6,11 -INTR_A 4,6,11 FS5 POLY FUSE miniDC110 P3V3_SB -PCIRST 6,11 -GNT2 5 -PME 6,11 A_D30 5,6,11 A_D28 A_D26 A_D24 A_D21 A_D22 A_D20 A_D18 A_D16 5,6,11 5,6,11 5,6,11 5,6,11 5,6,11 5,6,11 5,6,11 5,6,11 R62 15K R60 15K 7 USBD27 USBD2+ USB2 USBD2USBD2+ TC24 10uF L49 .1u C73 FB USB_GND2 R56 15K R58 15K
B

7 -OC1 C74 1000p R51 560K

R50 470K L50 FB CM45 1u R61 1 3 5 7 9 2 4 6 8 R59 R55 R57 NC-0 NC-0 0 0 USBD3USBD3+ AMRD3+ 13 AMRD3- 13 USBD3- 7 USBD3+ 7

S9 1 2 -PCIRST -GNT2 -PME A_D30 A_D28 A_D26 A_D24 A_D21 A_D22 A_D20 A_D18 A_D16 -FRAME -TRDY -STOP

-FRAME 5,6,11 -TRDY 5,6,11

-STOP 5,6,11

PAR A_D15 A_D13 A_D11 A_D9 C_-BE0 A_D6 A_D4 A_D2 A_D0 -P3REQ64

PAR 5,6,11 A_D15 5,6,11 A_D13 A_D11 A_D9 5,6,11 5,6,11 5,6,11

5,6,11 A_D8 5,6,11 A_D7 5,6,11 A_D5 5,6,11 A_D3
C

A_D8 A_D7 A_D5 A_D3 A_D1 -P3ACK64

C_-BE0 5,6,11 A_D6 A_D4 A_D2 A_D0 5,6,11 5,6,11 5,6,11 5,6,11
C

5,6,11 A_D1

-REQ2 -GNT2

R66 R68

2.2K 2.2K VCC3

-P3REQ64 -P3ACK64

R172 R173

2.2K 2.2K

D

D

JETWAY INFORMATION
Title PCI3 & USB3/USB4 Size C Date:
1 2 3

Document Number 601TCF Thursday, March 21, 2002
4

Rev 1.0 12 of 19

Sheet

1

2

3

4

SL1 7 RES_DRV 7
A

RES_DRV IRQ9 DREQ2 -0WS -SMEMW -SMEMR -IOW -IOR -DACK3 DREQ3 -DACK1 DREQ1 -REFRESH SYS_CLK IRQ7 IRQ6 IRQ5 IRQ4 IRQ3 TC BALE ISA_OSC -5V -12V +12V

IRQ9

7 -SMEMW 7 -SMEMR 7 -IOW 7 -IOR 7 -DACK3 7 DREQ3 7 -DACK1 7 DREQ1 7 -REFRESH 7 SYS_CLK 7 IRQ7 7 IRQ6 7 IRQ5 7 IRQ4 7 IRQ3 7 TC 7 BALE 8 ISA_OSC

32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36

B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 B11 B12 B13 B14 B15 B16 B17 B18 B19 B20 B21 B22 B23 B24 B25 B26 B27 B28 B29 B30 B31 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D12 D13 D14 D15 D16 D17 D18 SL2

A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 A20 A21 A22 A23 A24 A25 A26 A27 A28 A29 A30 A31 C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18

-IOCHCK SD7 SD6 SD5 SD4 SD3 SD2 SD1 SD0 IOCHRDY AEN SA19 SA18 SA17 SA16 SA15 SA14 SA13 SA12 SA11 SA10 SA9 SA8 SA7 SA6 SA5 SA4 SA3 SA2 SA1 SA0 -SBHE SA23 SA22 SA21 SA20 SA19 SA18 SA17 -MEMR -MEMW SD8 SD9 SD10 SD11 SD12 SD13 SD14 SD15

-IOCHCK 7 SD7 7 SD6 7 SD5 7 SD4 7 SD3 7 SD2 7 SD1 7 SD0 7 IOCHRDY 7 AEN 7 SA19 7 SA18 7 SA17 7 SA16 7 SA15 7 SA14 7 SA13 7 SA12 7 SA11 7 SA10 7 SA9 7 SA8 7 SA7 7 SA6 7 SA5 7 SA4 7 SA3 7 SA2 7 SA1 7 SA0 7 -SBHE SA23 SA22 SA21 SA20 7 7 7 7 7

AMR1 Thomas Hsu AMR_AGND 6,15,17 SPEAK B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 B11 B12 B13 B14 B15 B16 B17 B18 B19 B20 B21 B22 B23 AUDIO_MUTE GND MONO_OUT/PC_BEEP RESERVED RESERVED PRIMARY_DN -12V GND +12V GND +5VD GND RESERVED RESERVED +3.3VD GND AC97_SDATA_OUT AC97_RESET AC97_SDATA_IN3 GND AC97_SDATA_IN2 GND AC97_MSTRCLK AMR_CONN AUDIO_PWRDN MONO_PHONE RESERVED RESERVED RESERVED GND +5VDUAL/+5VSB USB_OC GND USB+ USBGND S/P-DIF_IN GND +3.3VDUAL/+3.3VSB GND AC97_SYNC GND AC97_SDATA_IN1 GND AC97_SDATA_IN0 GND AC97_BITCLK A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 A20 A21 A22 A23

R128 0 PHONE 17
A

AMR_VCC R41 R42 R43 NC-0 NC-0 NC-0

-12V

+12V

R118 4.7K

VCC3

AMRD3+ 12 AMRD3- 12 R44

VCC3 SDOUT -ACRST

AMR_VCC3 SYNC R125 R127 22 R126 22 R124 4.7K 22 SDIN2_ SDIN_

0

6,17 SDOUT 6,17 -ACRST

SYNC SDIN2_ SDIN_ 6

6,17

6,17

BITCLK_

BITCLK_ 6,17

AMR_AGND R299 NC-0

B

7 7 7 7

-MEMCS16 -IOCS16 IRQ10 IRQ11

7,14 IRQ15 7,14 IRQ14 7 -DACK0 7 DREQ0 7 -DACK5 7 DREQ5 7 -DACK6 7 DREQ6 7 -DACK7 7 DREQ7 7 -MASTER

-MEMCS16 -IOCS16 IRQ10 IRQ11 IRQ12 IRQ15 IRQ14 -DACK0 DREQ0 -DACK5 DREQ5 -DACK6 DREQ6 -DACK7 DREQ7 -MASTER

B

AMR_VCC -MEMR 7 -MEMW 7 SD8 7 SD9 7 SD10 7 SD11 7 SD12 7 SD13 7 SD14 7 SD15 7

L32 FB

5V_SB

AMR_VCC3

L33 FB L31 FB

P3V3_SB

AMR_AGND

Thomas Hsu IRQ3 IRQ4 IRQ5 IRQ6 RN64 4.7K 8P4R RN47 7 5 3 1 8 6 4 2 DREQ0 DREQ1 DREQ2 DREQ3 4.7K 8P4R RN1009 7 8 5 6 3 4 1 2 RN45 2 4 6 8 4.7K 8P4R RN66 2 4 6 8 4.7K 8P4R RN70 2 4 6 8 4.7K 8P4R RN72 2 4 6 8 4.7K 8P4R RN63 2 4 6 8 4.7K 8P4R RN73 2 4 6 8 4.7K 8P4R IOCHRDY
1 2

C

SD4 SD3 SD1 SD2

1 3 5 7

SD5 SD6 SD7 -IOCHCK

7 5 3 1

RN44 8 6 4 2 4.7K 8P4R U23 SA0 SA1 SA2 SA3 SA4 SA5 SA6 SA7 SA8 SA9 SA10 SA11 SA12 SA13 SA14 SA15 SA16 SA17 12 11 10 9 8 7 6 5 27 26 23 25 4 28 29 3 2 30 A0 D0 A1 D1 A2 D2 A3 D3 A4 D4 A5 D5 A6 D6 A7 D7 A8 A9 A10 A11 A18 A12 A13 A14 A15 OE A16 WE A17 CE SST28SF040

T h o m as Hsu Removed XD SD connectors
C

IRQ10 IRQ11 IRQ12 IRQ15 IRQ14 IRQ7 IRQ9

4.7K 8P4R 1 2 3 4 5 6 7 8 4.7K 4.7K 4.7K

DREQ5 DREQ6 DREQ7

R192 R193 R200

4.7K 4.7K 4.7K

SD9 SD10 SD11 SD12

1 3 5 7

R190 R86 R69

IRQ3 IRQ4

C91 C90 C89 C88 C87 C86 C146 C147 C148 C150 C149 C151

68p 68p 68p 68p 68p 68p 68p

-REFRESH SA9 SA8

1 3 5 7

SD13 SD14 -MASTER SD15

1 3 5 7

RN65 2 4 6 8 4.7K 8P4R RN69 2 4 6 8 4.7K 8P4R RN71 1 3 5 7 4.7K 8P4R RN74 2 4 6 8 4.7K 8P4R

13 14 15 17 18 19 20 21

SD0 SD1 SD2 SD3 SD4 SD5 SD6 SD7 R13 0 NC-0 SA18

-IOR -IOW -SMEMR -SMEMW -0WS

4.7K 8P4R RN46 7 8 5 6 3 4 1 2 R71 1K

IRQ5 IRQ6 IRQ7 IRQ9 IRQ10

1 R12 24 31 22 -MEMR -MEMW -ROMCS

SA7 SA6 SA5 SA4

1 3 5 7

SA17 SA16 SA15 SA14

1 3 5 7

-ROMCS 7

SA22
D

-IOCS16 -MEMCS16 SD8 SA23

7 5 3 1

RN1008 8 6 4 2

IRQ11 IRQ12 IRQ14 IRQ15 TC

68p 68p 68p 68p 68p

SA21 SA20

1 3 5 7

SA10 SA11 SA13 SA12

2 4 6 8

D

1K 8P4R Thomas Hsu

-MEMR -MEMW SA18 SA19

1 3 5 7

SA3 SA2 SA1 SA0

1 3 5 7

JETWAY INFORMATION
Title ISA SLOT & SYSTEM ROM & AMRSLOT Size C Date:
3

R73 4.7K

SD0

R72 4.7K

Document Number 601TCF Thursday, March 21, 2002
4

Rev 1.0 13 of 19

Sheet

1

2

3

4

A

A

D3 1N4148 R6 Q17 B 1K MMBT3904 D1 1N4148 E WOL1 D26 5V_SB 1 3 1N4148 2 R7 2K TC3 10u R8 10K D2 1N4148

-XRI1 -XRI2

-XRI1 15 -XRI2 15

PRIMARY
R253 7 -IDERST -IDERST 6 R254 PDD[0:7] 33 10K PDD7 PDD6 PDD5 PDD4 PDD3 PDD2 PDD1 PDD0 PDDREQ -PDIOW -PDIOR PIORDY -PDDACK IRQ14 PDA1 PDA0 -PDCS1 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 IDE1 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 PDD8 PDD9 PDD10 PDD11 PDD12 PDD13 PDD14 PDD15 PDD[8:15] 6

6 -RI

-RI

C

RING IN

B

LAN WAKE UP
5V_SB WOM1 D27 1 2 3 1N4148

6 PDDREQ 6 -PDIOW 6 -PDIOR 6 PIORDY 6 -PDDACK 7,13 IRQ14 6 PDA1 6 PDA0 6 -PDCS1

N o t e : Pin 20 DO NOT be installed R246 470
B

PDA2 -PDCS3

PD33_-66 6 PDA2 6 -PDCS3 6

MODEM WAKE UP
-HD_LED1 -HD_LED2

R244 1K R243 1K D6 D7 1N4148 1N4148 HD_LED

HD_LED

15

SECONDARY
C C

7 -IDERST

-IDERST

R255 7 SDD[0:7]

33

R256 10K SDD7 SDD6 SDD5 SDD4 SDD3 SDD2 SDD1 SDD0 SDDREQ -SDIOW -SDIOR SIORDY -SDDACK IRQ15 SDA1 SDA0 -SDCS1 -HD_LED2 IDE2 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 SDD8 SDD9 SDD10 SDD11 SDD12 SDD13 SDD14 SDD15 SDD[8:15] 7

PIORDY SIORDY

R249 R247

1K 1K 6 SDDREQ 6 -SDIOW 6 -SDIOR 6 SIORDY 6 -SDDACK 7,13 IRQ15 6 SDA1 6 SDA0 6 -SDCS1

N o t e : Pin 20 DO NOT be installed R245 470

SDA2 -SDCS3

PDDREQ SDDREQ
D

R252 R250

5.6K 5.6K

SD33_-66 6 SDA2 6 -SDCS3 6

D

JETWAY INFORMATION
Title IDE1 & IDE2 Size C Date:
1 2 3

Document Number 601TCF Thursday, March 21, 2002
4

Rev 1.0 14 of 19

Sheet

1

2

3

4

USB PS2
7 -OC0 -OC0 C5 1000p R10 560K R9 470K

FS2 POLY FUSE miniDC110

L8 FB

CM1 1u 10 9

A

USB1

A

VCC_PS2 FS1 L2 N O T E:Z125 is powered by 3V3_SB POLY FUSE miniDC110 FB CB1 .1u Thomas Hsu 2 4 6 8 7 RN1 KB_DATA 1 3 5 7 4.7K 8P4R KB_DATA

7 USBD07 USBD0+

USBD0USBD0+ TC1 GND1 R3 15K R5 15K 10u CB3 .1u

5 6 7 8 11 12

1 2 3 4

USBD1USBD1+

USBD1- 7 USBD1+ 7

GND1 L10 FB R4 15K R2 15K

Thomas Hsu L6 FB Thomas Hsu 13 4 2 1 3

PS1 6 5 14 15 17 10 8 7 9 16 12 11 R3234 330 M o d i fication follow reqirement of customer E Q50 R3237 B MMBT3904 2.2K SPEAK 6,13,17

7

KB_CLK

KB_CLK C3 47p

L7 C4 47p

FB GND2

B

7 7

MS_DATA MS_CLK

MS_DATA MS_CLK C1 47p C2 47p

L4 L5

FB FB

MS_DT MS_CK

C

PANEAL1 1 3 5 7 RESET 9 11 13 15 2 4 6 8 10 12 14 16 20 22 26 28
47p C3233 0.01uF R3236

R3235 330

PS2_STACKED_CONN

SPKR
L1 FB

PW_LED
19 RST_SW

RST_SW

22

14 HD_LED 7 -SLPBTN

HD_LED -SLPBTN

LPT
TB_LED
LPT1 13 25 12 24 11 23 10 22 9 21 8 20 7 19 6 18 5 17 4 16 3 15 2 14 1 LPT P_SLCT P_PE P_BUSY P_-ACK P_PRD7 P_PRD6 P_PRD5 P_PRD4 P_PRD3 P_-SLIN P_PRD2 P_-INIT P_PRD1 P_-ERR P_PRD0 P_-AFD P_-STB C15 C19 C18 C17 C16 C21 C20 C22 C23 C27 C26 C25 C24 C31 C30 C29 C28 180p 180p 180p 180p 180p 180p 180p 180p 180p 180p 180p 180p 180p 180p 180p 180p 180p

PANEL1 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 FRONT PANEL

B

-EXTSMI PW_BT

-EXTSMI 6 PW_BN 6,18

TB_SW
1uF C3232

C3234 0.01uF R3232 330

IDE_LED

19 21 25 27

SMI

SLP_BN

PW_BN
C14 C13 C12 C11 C10 C9 C8 C7 47p 26 31 27 32 28 33 29 34 30 -XRI1 14
C

C

COM2
+12V U1 DCD2 DSR2 RXD2 RTS2 TXD2 CTS2 DTR2 RI2 20 19 18 17 16 15 14 13 12 11 VCC ROUT1 ROUT2 ROUT3 DIN1 DIN2 ROUT4 DIN3 ROUT5 GND DS14185 V+ RIN1 RIN2 RIN3 DOUT1 DOUT2 RIN4 DOUT3 RIN5 V1 2 3 4 5 6 7 8 9 10 -XRI2 -12V -XRI2 14

7 7 7 7 7 7 7 7

DCD2 DSR2 RXD2 RTS2 TXD2 CTS2 DTR2 RI2

CP8 CP7 CP5 CP4 CP1 CP2 CP3 CP6 1 2 3 4 5 COM2 6 7 8 9 HEADER_2X5

COM1
U2 DCD1 DSR1 RXD1 RTS1 TXD1 CTS1 DTR1 RI1 20 19 18 17 16 15 14 13 12 11 VCC ROUT1 ROUT2 ROUT3 DIN1 DIN2 ROUT4 DIN3 ROUT5 GND DS14185 V+ RIN1 RIN2 RIN3 DOUT1 DOUT2 RIN4 DOUT3 RIN5 V1 2 3 4 5 6 7 8 9 10 7 7 7 7 7 7 7 DCD1 DSR1 RXD1 RTS1 TXD1 CTS1 DTR1 7 RI1

+12V

LPT1-1

-XRI1 -12V

7 P_PRD7 7 P_-ACK 7 P_BUSY 7 P_PE
D

P_PRD7 P_-ACK P_BUSY P_PE P_PRD6 P_PRD5 P_PRD4 P_PRD3

7 7 7 7

P_PRD6 P_PRD5 P_PRD4 P_PRD3

1 3 5 7 RN2 4.7K 8P4R 1 2 3 4 5 6 7 8 RN3 4.7K 8P4R R15 4.7K

2 4 6 8

7 P_-SLIN 7 P_PRD2 7 P_-INIT 7 P_PRD1 7 P_-ERR 7 P_PRD0 7 P_-AFD 7 P_-STB

P_-SLIN P_PRD2 P_-INIT P_PRD1 P_-ERR P_PRD0 P_-AFD P_-STB

1 3 5 7 4.7K 1 3 5 7 4.7K

7 P_SLCT

P_SLCT

2 4 6 8 RN4 8P4R 2 4 6 8 RN5 8P4R

D

JETWAY INFORMATION
Title FRONT PANEL & BACK PANEL Size C Date:
3

Document Number 601TCF Thursday, March 21, 2002
4

Rev 1.0 Sheet 15 of 19

1

2

1

2

3

4

D21 D22 D23 NC-BAT54SNC-BAT54S NC-BAT54S 1 1 3 3

1

3
A

3 BAT54S 1 2

3

A

1 BAT54S

2

2

2

2

GND_V4 USE TDK321611 FB ( 2 6 OHMS AT 100MHz) RED GREEN BLUE R267 R19 75 75 L25 FB
B

VGA CONNECTOR
VGA1 16 6 1 7 2 8 3 9 4 10 5 11 12 13 14 15 17 VGA_PORT (FEMALE) MID3 C37 120p C42 120p C43 120p C36 120p MID1 L13 R21 R20 L14 FB 47 47 FB C39 100p 100p C40
B

R17 R16

2.2K 2.2K SDA

4

RED 4 GREEN 4 BLUE

L51 L53 L52 R18 75 C35 10p C41 10p C34 10p

FB1 FB1 FB1 C33 10p C38 10p C32 10p

SDA

4

SCL

SCL

4

GND_V4

GND_V4

1

R306 NC-10K 14 1 4 VSYNC 2

C182 NC-0.1U 3

D25 NC-BAT54S 3

1 2

D24 NC-BAT54S

3 U19A NC-74ACT08 4
C

14

0 R307 6

4

HSYNC

5 R308 U19B NC-74ACT08 0 C180 C179 NC-220p NC-220p

2

C

VCC3 Thomas Hsu R268 4.7K 6 CPUFAN1 CPUFAN1 1 2 3 FAN_CONN FAN1 +12V

VCC3 Thomas Hsu
D

R212 4.7K 6 CPUFAN2 CPUFAN2 1

FAN2 2 3

+12V

D

FAN_CONN

JETWAY INFORMATION
Title FAN CONTROL & VGA CONNECTOR Size C Date: Document Number 601TCF Thursday, March 21, 2002
4

Rev 1.0 16 of 19

Sheet

1

2

3

1

2

3

4

VCC3 CD_IN2 1 2 3 4 R603 NC-0 0 R602 TC23 10u 1 2 CDGND 3 4 CD_IN_2.54 LIR R270 LIL R271 R273 680 1K 1K R272 680 GND_AUD LINE_R 14 15 LINE_L CDL CDR GND_AUD PC_BEEP R39 4.7K C85 1000p R274 1K R275 1K R277 47K CDGND LINE_L LINE_R PC_BEEP MICIN VDD5
B

AVDD5 L47 FB .1u CB63 TC21 10u TC20 10u CB62 .1u CB61 .1u 4 7 U15 1 9 DVDD1 DVDD2 DVSS1 DVSS2 AVDD1 AVDD2 AVSS1 AVSS2 EAPD 6,13 SYNC SYNC SDIN SDOUT -ACRST BITCLK 10 8 5 11 6 SYNC SDATA_IN SDATA_OUT RESET BIT_CLK AUX_L AUX_R VIDEO_L VIDEO_R CD_L CD_R CD_GND LINE_IN_L LINE_IN_R PC_BEEP MIC1 MIC2 PHONE_IN XTL_IN ALC100P 1 X4 C62 5p NC-1M ID1 ID0 LINE_OUT_L LINE_OUT_R MONO_OUT VREFOUT 16 17 47K R276 C70 C69 C66 1u 1u 1u 1u C67 1u 1u 1u 1u 18 20 19 23 24 12 21 22 13 2 VREF LNLVL_OUTL LNLVL_OUTR NC NC NC NC NC NC NC NC AFILT1 AFILT2 XTL_OUT 25 38 CB59 .1u 26 42 47 46 45 35 36 37 28 27 CB58 39 41 31 32 33 34 40 44 43 48 29 30 3 C60 102p HPOUTL HPOUTR .1u TC16 22u GND_AUD C603 NC-.1U NC-1U C605 R287 R288 R286 CB60 NC-4.7K NC-4.7K NC-4.7K CM76 CM75 TC64 CB57 1u 1u 10u .1u GND_AUD .1u TC18 10u TC19 10u L46 FB

VDD5

CD_IN

CD_IN_2.0mm CD_IN CDL CDR

L45 FB

GND_AUD GND_AUD

A

A

LINE_IN
CN1A 25 24 23 22 21 LINEIN

6,13 SDOUT 6,13 -ACRST

LINEOUTL LINEOUTR

6,13,15

SPEAK

SPEAK

R40 1K

C600 NC-0.22U NC-1U C601

SPEAK_IN

C68 C64 C71 C65 PHONE

NC-1U C602 NC-1U C604 FOR GND_AUD C61 102p

ALC201A
GND_AUD

VCC L60 FB VDD5

13 PHONE

MIC_IN
CN1B 20 19 18 17 16 MICIN NC-0 R302 W i t h wider trace to ground GND_AUD MIR 1K C209 R285 2.2K 100p L18 FB R284

B

R283 1K MICIN TC63 10u GND_AUD R522 AVDD NC-0

2 24.576MHz R520 C63 5p HPOUTR HPOUTL R521 NC-0 AVDD L43 TC17 NC-10u NC-FB TC15 TC14 CB56 NC-.1u GND_LOUT R607 0 NC-220u (DIP)

GND_AUD

LINEOUTR LINEOUTL

LINE_OUT

LIL MICIN SOUTL
C

AUDIO 1 3 5 7 9

R519 2 4 6 8 10 LIR NC-100K MIR SOUTR NC-0 R518 LINEOUTR R32 NC-20K 1% R30 2 5 3 6

R31 NC-200p U14

NC-24.9K 1% C58 1 8 4 7

0 R606 CN1C L42 L41 FB SOUTR FB SOUTL GND_LOUT L44 FB 30 29 28 27 26 LINEOUT
C

HEADER_2X5 LINEOUTL NC-20K 1% GND_AUD GND_AUD R33 NC-1K GND_LOUT CM70 NC-1u

INA SDN BYPASS INB NC-TI/TPA122 C59 R29

OUTA VAA GND OUTB

NC-220u (DIP)

NC-200p NC-24.9K 1%

C210 470p C211 470p

GAME PORT
2 4 6 8 R297 4.7K JAB1 JBB1 JACX JBCX MSO JBCY JACY JBB2 JAB2 MSI C52 C51 C46 C45 100p GND_MIDI R23 R22 2K 2K JBCY_ JACY_ R26 4.7K 1 3 5 7 RN6 4.7K 8P4R L16 FB R25 R24 2K 2K JACX_ JBCX_ VCC_JOY CN1 1 9 2 10 3 11 4 12 5 13 6 14 7 15 8 C44 .1u GAME_PORT GND_AUD 6,13 SDIN_ R38 22 S

R608 Q14

0

1=OUT 78L05 1 2 3
D SDIN +12V Q3 78l05_DIP I TC13 10u G .1u CB50 CB47 .1u GND_AUD GND_AUD GND_AUD
D

2=GND 3=IN
VDD5 O

6 6 6 6

JAB1 JBB1 JACX JBCX

GND_AUD

NC-2N7002 R37 NC-10K G R609 0 Q13

TC12 10u

6 MSO 6 6 6 6 6 JBCY JACY JBB2 JAB2 MSI

D

6,13 BITCLK_

22

R36 +12V

S

D NC-2N7002

BITCLK

L15 FB

C50 C49 C48 C47 .01u

R35 NC-10K

JETWAY INFORMATION
Title AC97 AUDIO CODEC & AUDIO PORTS J2 Size C 1 - 2:USED ONBOARD CODE 2-3:USED AMR SLOT Date: Document Number 601TCF Thursday, March 21, 2002
4

G

1

3

Rev 1.0 17 of 19

2

Sheet

1

2

3

A

B

C

D

E

VCC3 +12V VCC3 VCC2_5 VCC3_CLK Q6 FDN351 VTT R3240 Q102 1K 2N7002 C3236 + 100U
4

R3238 1K VTTPG C3235 NC-1U

+12V

VCC3

R900 4.7

L58 1U R3241

R3239 NC-10K CPWOK

R3258 330 CPWOK 2
4

C3237 1000P 28 C3238 1U R3242 NC-0 9 2 VTTPG FIX R3243 8 U101 3K OCSET UGATE PHASE DRIVE2 R501 VSEN2 VAUX PGND C3251 0.1U VSEN1 FB DRIVE3 COMP VSEN3 VID25 VID0 VID1 VID2 VID3 SS24 SS13 ISL6524 C3258 224 55N03 R3255 30K R3256 402 C3259 0.1u 7 6 5 4 3 12 13 LGATE 25 24 22 21 20 C3254 15P C3255 0.015U R3249 100K R3248 1.3K 0 55N03 R3247 5.1K 23 4.7 27 26 R500 Q104 55N03 Q106 C3239 1500U PGOOD +

0 C3242 C3240 1500U + 1.8K 1U R3259 C3263 0.1U 1.05V~1.825V/28A VCC2

3 VTT_PWGD R14 1K VTT

Q103 55N03

R3244 1K VTTS 1.25V OR 1.5V/ 2A C3243 + 1500U 3 -TUAL5 -TUAL5 R3245 4.7K Q105 2N7002 VCC3 R3246 24K PIN11 11 16 1

VCC

L59 3U

C3244 + RA1 0 1500U

C3245 + 1500U

C3246 + 1500U

C3247 + 1500U

C3248 + 1500U

C3249 + 1500U

C3250 + 1500U

VCC2_5

-TUAL5: TUALATIN:LO SET VTT 1.25V COPPERMINE:HI SET VTT 1.5V
1.5V OR 3.3V/2A VCMOS R3261

C3252 0.22U

C3253 100U 60

+

2N2222A Q107 R3250

1K

R502

18 19

RB1 NC-5.1K FM3570 ON:RA1-200,RB1-1K FM3570 ON:RA1-0,RB1-NC VID4 VID0 VID1 VID2 VID3 2 2 2 2 2

100-1% DRIVE4 VSEN4 FAULT/RT 15

C3256 100U

+

C3262 R3262 0.1U 100 VCC3 Q109 C3260 + VCC2_5 2A 1500U R3252 10K-1%

14

GND

R3253 8 6 4 2 470K/NC R3254 360K R3260 8.2K 7 5 3 1 RP1 8.2K VCC3
3

10 R3257 1K-1%

3

C3261 1000U

+

9 2 3 4

MH1

8 7 6 5

9 2 3 4

MH2

RT9238
8 7 6 5

1

1

17

5V_SB

VCC3

+12V

5V_SB

NC/Mounting Hole8 1 MH3

NC/Mounting Hole8 1 MH4

16

2

3V3_SB 2 4 NC-4.7K 5

QCAP PUMP 3VOUT1

5VSTBY

5VMAIN

VCCP

NC/Mounting Hole8 GND_AUD GND_AUD 1 9 2 3 4 MH5 8 7 6 5

NC/Mounting Hole8 MH6 1

NC-0.1U C607 1

15

3

9 2 3 4

8 7 6 5

9 2 3 4

8 7 6 5

9 2 3 4

8 7 6 5

R3247 NC R3248 NC C3252 NC RB1 105P C3254 560 C3255 NC R3249 NC C3258 104P R3255 0 R3253 NC R3254 NC

D100 NC-1N5817DIP_DO41 TC100 + NC-10U TC101 + NC-10U NC-1U C610 NC-10U U100
2

C612

C611 NC-1U

+

TC103 NC-10U

NC-NZT651 SOT252&SOT223 Q101 VADJOUT 14 13 NC-16.9K R616 GND 12 R617 NC-FAN5063_SO I C NC-10K + TC104 1000U V_DIM

NC/Mounting Hole8

NC/Mounting Hole8 R328 6,19 -SUSB 6,19 -SUSC 6 7 8 9 10 + TC102 NC-1000U

3VOUT2 VADJ

3.3V/3A

1

1

1

1

1

5V_SB 3 TC55 .1u CB45 10u

Q11 NIKO_L1087-3. 3 VIN VOUT GND

3V3_SB 1 1 1 1 2 TC54 10u CB87 .1u 1

C608 NC-0.1U

1

14 4

U25A Q Q 5 6 AHCT74 R279 1.5K -RSMRST R280 3.3K -RSMRST 6 C552 0.1UF

NC-10K

5V_SB C609 NC-1U

5V_SB 3 TC48 .1u CB55 10u

Q9 NIKO_L1087-3. 3 2 VIN VOUT GND TC49 10u

P3V3_SB 6,15 CB54 .1u 5V_SB

5V_SB PW_BN R278 10K C208 1u

2 3

5V_SB R614 D101 NC-1N4148 470 Q100 NC-MMBT2907A_SOT23

D P C 1

NC-500K R615

1

1

UVP DISABLE CKT

11

FD1 FIDMARK

FD2 FIDMARK

MH7 PAD4MM

MH8 PAD4MM

MH9 PAD4MM

3VFB SLP_S3 SLP_S5 PWR_OK SS

VADJFB

R329

PWR_OK FROM ATX POWER GOOD

1

FOR STR FUNCTION
V_DIM 1 3 JMP2 2 4 VCC3 Title DC-DC CONVERT R E Size Document Number Custom Date:
A B C D

JETWAY INFORMATION
601TCF Sheet
E

Rev 1.0 18 of 19

HEADER_2X2 Thursday, March 21, 2002

1

2

3

4

d e c o u pling capacitors for DRAM signals with vias P l a c e these capacitors near vias V_DIM

A

C402 C403 5V_SB -5V 5V_SB CB46 .1u R112 4.7K JP1 6,18 -SUSB 1 2 3 6,18 -SUSC 1 - 2:STR POWER DOWN 2 - 3:SOFT OFF POWER DOWN -SUSC C -SUSB R113 1K B E TC6 10u TC5 10u ATX 11 12 13 14 15 16 17 18 19 20 3.3V 3.3V -12V 3.3V GND GND PS-ON 5V GND GND GND 5V GND GND -5V PW-OK 5V 5VSB 5V 12V ATX_PWR_CONN 1 2 3 4 5 6 7 8 9 10 TC10 10u TC11 10u CB48 .1u CB49 .1u CM3 1u CB202 1u R262 PWRGD 4.7K CB100 CM56 CM55 CB74 R263 3.48K 1% CB73 CM53 R260 R264 6.65K 1% C77 .1u 100 TC9 10u PW_GOOD 5,6 CM78 CB72 CB71 CM77 CB66 CB65 CB69 CB70 -12V VCC3 +12V C404

.1u .1u .1u

A

.1u CM66 .1u 1u 1u .1u .1u 1u 1u
B

Q8 MMBT3904

FOR STR FUNCTION
B

15 VCC2_5 NC-1u (BOT) C156 C177 C176 C175 C178 NC-1u (BOT) NC-1u (BOT) NC-1u (BOT) NC-1u (BOT) C174 Under NB

RST_SW

R261 22

.1u .1u 1u .1u .1u .1u .1u

VCC3 .1u .1u CB95 CB75 CB94 .1u
C

NC-1u (BOT)

CB90 CB39 .1u .1u .1u CB76 VCC3 CM65 CM27 CB85 CB84 CM36 CM90 .1u 1u 1u .1u .1u 1u 1u CB38 .1u CB42 CB161 1u 1u CB43 1u CB160 1000u VTT

T h o m as Hsu Removed VCC TC7 10uF CAP L a y out remove 2 VCC3 CAPs VCC3 .1u CB52 VCC2 VCC2 10u_SMD 1u TC37 CM62 1u TC35 TC34 CM67 1u CM68 CM39 CT12 CT19 1u 1u 1000u 1000u 10u_SMD TC31 1u 1u TC28 1u TC38 CM22 TC33 CM17 4.7u (DIP) CM23 1u CM15 1u CM24 TC36 CM25 1u CM12 L a yout remove vcc3 CAPs CB77 .1u VCC3 .1u CM63 C173 C170 NC-1u (BOT) NC-1u (BOT) CM69 .1u CM91 .1u CT23 CT22 Under NB CT16
D

+12V CB501 1u 1u 1u 1u 1u 1u 1u 1u 1u 1u 1u 1u 1u 1u 1u 1u TC52 CM50 1u CM18 1u TC46 10u
D

TC62 -12V TC26 -5V TC25

10u

.1u CB92 CB64 CB93 CB79 CB88 CB89 .1u .1u CT11 CT3 TC60 TC59 TC61 .1u .1u .1u

10u
C

10u

.1u .1u .1u CB500 CB300 CM201 1u .1u .1u .1u 1u 1u 10u 1000u CB201 1000u CB41 10u CB44 10u CM32 10u CM38 VCC2_5

TC30 CM11 TC29 CM10 1u CM8 TC32 CM7 CM9

.1u CM47 TC39

CB80 1u

5V_SB 1u CM28 1u CM29

CM21 CM20 CM19 CM16

10u CM49 1u

1000u 1000u P3V3_SB CM14 CM13 1u 1u .1u Title

3V3_SB 3V3_SB CB86 Around SB CM42 1u .1u CM51 1u CM41 1u

CB12

JETWAY INFORMATION
ATX POWER/BYPASS CAPACITORS Size C Date: Document Number 601TCF Thursday, March 21, 2002
4

Rev 1.0 Sheet 19 of 19

1

2

3