Text preview for : 645_650em.pdf part of some brands - algumas marcas some schematic motherboards notebooks downloaded from www.freeservicemanuals.net. alguns esquemas placa-mae e notebook baixados de www.freeservicemanuals.net.



Back to : Notebook_MB schematic.par | Home

8

7

6

5

4

3

2

1

JET WAY INFORMATION 645EM
D

SiS-645/650 for Pentium 4 (Desktop PC) Uniprocessor Reference Design Schematics
PAG 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 Cover Page System Block Diagram Content

D

C

B

SOCKET 478 - 1 ( DIP ) SOCKET 478 -2 ( DIP ) SOCKET 478 BY-PASS CAP. SIS 645/650-1(HOST/AGP) SIS 645/650-2(MEMORY) SIS 645/650-3(VGA) SIS 645/650-4(POWER) SIS 961-1(PCI/IDE) SIS 961-2(MISC.) SIS 961-3(USB) SIS 961-4(POWER) Main Clock Generator SDR Clock Buffer AGP SLOT/ VB (645&650) VGA CONNECTOR SDR 1 & 2 SDR BY-PASS CAP. RESERVED PCI Slot 1 & 2 PCI Slot 3 IDE Connectors USB Connectors AC97 CODEC CNR SLOT ICS 1893 PHY RESERVED ITE 8705 FDC/COM1&2/IR CONN. GAME/PARALLEL CONN. FAN1&2/VOLTAGE/TEMP. BIOS & FRONT PANNEL RTC LINEAR REGULATORS CPU POWER ACPI POWER ATX POWER CONNECTOR

C

B

A
JET WAY INFORMATION
Title

A

Cover Page
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 1 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8

7

6

5

4

3

2

1

2*CPUs

System Block Diagram
D
SOCKET-478

1*SDRAMs 6*PCIs 2*ASLs 1*48MHz 1*24/48MHz 2*REF 1*APIC Main Clock Gen.

D

1*CLK IN/# Host Bus 9*CLK OUT/# FB OUT/# FB IN/# DDR Clock Buffer

SDR SDRAM
AGP SLOT

SiS645
DIMM 1 DIMM 2

C
Power
HyperZip 512 MB Analog In RTCVDD RTC

C

Analog Out AC'97 Audio Codec PCI SLOT 3 PCI SLOT 2 PCI SLOT 1 3D Audio Out

VCC2.5V CPU SB3V SB1.8V

REGULATOR

SiS961
IDE 1 IDE 2 KEYBOARD /MOUSE

CNR

MODEM DEVICE

VDDV VCC1.8V VTT VCC VCORE VCMOS1.5V

PWM

B
RJ45/PHY

USB 0

USB 2

MII
USB 1 USB 4 LPC Bus USB 3 USB 5

VCC3 DUAL VCC5 DUAL

Voltage Switch

B

VCC M DDR VTT SB2.5V

PWM

VCC DIMM FAN 1 FAN 2 FAN CONTROL VOLTAGE MONITOR

Voltage Switch

LPC Super I/O

TEMPERATURE MONITOR

Legacy ROM

FAN CONTROL

VCC3 SB5V VCC5 +12V

ATX POWER

A

GPIOs

IR/CIR

GAME/MIDI

SERIAL

PARALLEL

FLOPPY

A
JET WAY INFORMATION
Title

System Block Diagram
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 2 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8

7

6

5

4

3

2

1

VCCP

D
A10 A12 A14 A16 A18 A20 A8 AA10 AA12 AA14 AA16 AA18 AA8 AB11 AB13 AB15 AB17 AB19 AB7 AB9 AC10 AC12 AC14 AC16 AC18 AC8 AD11 AD13 AD15 AD17 AD19 AD7 AD9 AE10 AE12 AE14 AE16 AE18 AE20 AE6 AE8 AF11 AF13 AF15 AF17 AF19 AF2 AF21 AF5 AF7 AF9 B11 B13 B15 B17 B19 B7 B9 C10 C12 C14 C16 C18 C20 C8 D11 D13 D15 D17 D19 D7 D9 E10 E12 E14 E16 E18 E20 E8 F11 F13 F15 F17 F19 F9 L25 K26 K25 J26 U5 VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC HD-0 HD-1 HD-2 HD-3 HD-4 HD-5 HD-6 HD-7 HD-8 HD-9 HD-10 HD-11 HD-12 HD-13 HD-14 HD-15 HD-16 HD-17 HD-18 HD-19 HD-20 HD-21 HD-22 HD-23 HD-24 HD-25 HD-26 HD-27 HD-28 HD-29 HD-30 HD-31 HD-32 HD-33 HD-34 HD-35 HD-36 HD-37 HD-38 HD-39 HD-40 HD-41 HD-42 HD-43 HD-44 HD-45 HD-46 HD-47 HD-48 HD-49 HD-50 HD-51 HD-52 HD-53 HD-54 HD-55 HD-56 HD-57 HD-58 HD-59 HD-60 HD-61 HD-62 HD-63 RS-0 RS-1 RS-2 B21 B22 A23 A25 C21 D22 B24 C23 C24 B25 G22 H21 C26 D23 J21 D25 H22 E24 G23 F23 F24 E25 F26 D26 L21 G26 H24 M21 L22 J24 K23 H25 M23 N22 P21 M24 N23 M26 N26 N25 R21 P24 R25 R24 T26 T25 T22 T23 U26 U24 U23 V25 U21 V22 V24 W26 Y26 W25 Y23 Y24 Y21 AA25 AA22 AA24 F1 G5 F4 DEP3 DEP2 DEP1 DEP0 AB1 Y1 W2 V3 U4 T5 W1 R6 V2 T4 U3 P6 U1 T2 R3 P4 P3 R2 T1 N5 N4 N2 M1 N1 M4 M3 L2 M6 L3 K1 L6 K4 K2 AE5 AE4 AE3 AE2 AE1 H3 J3 J4 K5 J1 AB4 AA5 Y6 AC4 AB5 AC6 AD25 A6 Y3 W4 U6 AB22 AA20 AC23 AC24 AC20 AC21 AA2 AD24

D

C

B

HD0 HD1 HD2 HD3 HD4 HD5 HD6 HD7 HD8 HD9 HD10 HD11 HD12 HD13 HD14 HD15 HD16 HD17 HD18 HD19 HD20 HD21 HD22 HD23 HD24 HD25 HD26 HD27 HD28 HD29 HD30 HD31 HD32 HD33 HD34 HD35 HD36 HD37 HD38 HD39 HD40 HD41 HD42 HD43 HD44 HD45 HD46 HD47 HD48 HD49 HD50 HD51 HD52 HD53 HD54 HD55 HD56 HD57 HD58 HD59 HD60 HD61 HD62 HD63 RS0 RS1 RS2

HA35 HA34 HA33 HA32 HA31 HA30 HA29 HA28 HA27 HA26 HA25 HA24 HA23 HA22 HA21 HA20 HA19 HA18 HA17 HA16 HA15 HA14 HA13 HA12 HA11 HA10 HA9 HA8 HA7 HA6 HA5 HA4 HA3 VID0 VID1 VID2 VID3 VID4 REQ4 REQ3 REQ2 REQ1 REQ0 BPM5 BPM4 BPM3 BPM2 BPM1 BPM0

HA-31 HA-30 HA-29 HA-28 HA-27 HA-26 HA-25 HA-24 HA-23 HA-22 HA-21 HA-20 HA-19 HA-18 HA-17 HA-16 HA-15 HA-14 HA-13 HA-12 HA-11 HA-10 HA-9 HA-8 HA-7 HA-6 HA-5 HA-4 HA-3 VID0 VID1 VID2 VID3 VID4 HREQ-4 HREQ-3 HREQ-2 HREQ-1 HREQ-0

C

RN3 51_1%-8p4r 1 3 5 7

VCCP 2 4 6 8 VCCP

H1 VSS H4 VSS H23 VSS H26 VSS A11 VSS A13 VSS A15 VSS A17 VSS A19 VSS A21 VSS A24 VSS A26 VSS A3 VSS A9 VSS AA1 VSS AA11VSS AA13VSS AA15VSS AA17VSS AA19VSS AA23VSS AA26VSS AA4 VSS AA7 VSS AA9 VSS AB10VSS AB12VSS AB14VSS AB16VSS AB18VSS AB20VSS AB21VSS AB24VSS AB3 VSS AB6 VSS AB8 VSS AC11VSS AC13VSS AC15VSS AC17VSS AC19VSS AC2 VSS AC22VSS AC25VSS AC5 VSS AC7 VSS AC9 VSS AD1 VSS AD10VSS AD12VSS AD14VSS AD16VSS AD18VSS AD21VSS AD23VSS AD4 VSS AD8 VSS AE11VSS AE13VSS AE15VSS AE17VSS AE19VSS AE22VSS AE24VSS AE26VSS AE7 VSS AE9 VSS AF1 VSS AF10 VSS AF12 VSS AF14 VSS AF16 VSS AF18 VSS AF20 VSS AF26 SKTOCC# AF6 VSS AF8 VSS B10 VSS B12 VSS B14 VSS B16 VSS B18 VSS B20 VSS B23 VSS B26 VSS B4 VSS B8 VSS C11 VSS C13 VSS C15 VSS C17 VSS C19 VSS C2 VSS

TESTHI12 TESTHI11 TESTHI10 TESTHI9 TESTHI8 TESTHI7 TESTHI6 TESTHI5 TESTHI4 TESTHI3 TESTHI2 TESTHI1 TESTHI0

1 3 5 7 2 4 6 8 2 4 6 8 R101 R19

2 4 6 8 1 3 5 7 1 3 5 7 1K 1K

RN4 1K_8P4R

B

RN32 1K_8P4R RN33 1K_8P4R

SOCKET_478

A

6 6 6 6 36

RS-[0..2] HD-[0..63] HA-[3..31] HREQ-[0..4] VID[0..4]

RS-[0..2] HD-[0..63] HA-[3..31] HREQ-[0..4] Title VID[0..4] Size B Date: Document Number

A
JET WAY INFORMATION
SOCKET 478 - 1 645EM
Thursday, January 03, 2002 Sheet 3 of 38 Rev 0.1

8

7

6

5

4

3

2

1

8
6 6 6 6 HDSTBP-[0..3] HDSTBN-[0..3] DBI-[0..3] HASTB-[0..1] HDSTBP-[0..3] HDSTBN-[0..3] DBI-[0..3]

7

6

5

4

3

2
CPUGTLVREFA CPUGTLVREFB R106 R14 R15 100_1%

1
VCCP 49.9_1% 49.9_1%

C104 HASTB-[0..1] 220pF AA21 AA6 F20 F6 C25 C5 C7 C9 D10 D12 D14 D16 D18 D20 D21 D24 D3 D6 D8 E1 C22

C102 220pF

C128 1uF

R100 100_1%

C75 220pF

C73 220pF

C19 1uF

VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS

L16 4.7uH/1206 1 1 L15 4.7uH/1206

GTLREF0 GTLREF1 GTLREF2 GTLREF3

D

U5-1 VCCP

D
IERR MCERR FERR STPCLK BINIT INIT RSP DBSY DRDY TRDY ADS LOCK BRO BNR HIT HITM BPR DEFER TCK TDI TMS TRST TDO PROCHOT IGNNE SMI A20M SLP PWRGOOD RESET THERMDA THERMDC THERMTRIP BSEL0 BSEL1 AP0 AP1 VCCVID VCCVIDPRG AC3 V6 B6 Y4 AA3 W5 AB2 H5 H2 J6 G1 G4 H6 G2 F3 E3 D2 E2 D4 C1 F7 E6 D5 C3 B2 B5 C6 AB26 AB23 AB25 B3 C4 A2 AD6 AD5 AC1 V5 AF4 AF3 FERRSTPCLKINITDBSYDRDYHTRDYADSHLOCKBREQ0BNRHITHITMBPRIDEFERHTCK HTDI HTMS HTRSTHTDO PROCHOTIGNNESMIA20MCPUSLPCPUPWRGD CPURSTDXP DXN THERMTRIPFERR- 11 STPCLK- 11 INIT11

2 2 C125 C122 51 51 10U/1206 10U/1206 +

AE23 AD20 AD22 AC26 AD26

VCCIOPLL VCCA VSSA ITP_CLK0 ITP_CLK1

+

R2 R8

DBI-3 DBI-2 DBI-1 DBI-0 HASTB-1 HASTB-0 DBRESET NMI INTR CPUCLK-0 CPUCLK0

V21 P26 G25 E21 R5 L5 AE25 E5 D1 AF23 AF22 P1 L24 W23 P23 J23 F21 W22 R22 K22 E22 A5 A4 E11 E13 E15 E17 E19 E23 E26 E4 E7 E9 F10 F12 F14 F16 F18 F2 F22 F25 F5 F8 G21 G24 G3

DB#3 DB#2 DB#1 DB#0 ADSTB1 ADSTB0 DBRESET LINT1 LINT0 BCLK1 BCLK0 COMP1 COMP0 STBP3 STBP2 STBP1 STBP0 STBN3 STBN2 STBN1 STBN0 VCC_SENSE VSS_SENSE VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS

DBSY- 6 DRDY- 6 HTRDY- 6 ADS- 6 HLOCK- 6 BREQ0- 6 BNR- 6 HIT- 6 HITM- 6 BPRI- 6 DEFER- 6

C

11 NMI 11 INTR 14 CPUCLK-0 14 CPUCLK0 R40 R96

IGNNE- 11 SMI- 11 A20M- 11 CPUSLP- 11 CPUPWRGD 6 CPURST- 6 DXP DXN 32 32 VCC3 PROCHOTR57 R112 R61 R66 R16 R113 R67 R17 62_1% 300_1% 62_1% 470 470 330 470 470 FERRBREQ0R69 R18 62_1% 51_1%

C
VCCP

51.1_1% 51.1_1% HDSTBP-3 HDSTBP-2 HDSTBP-1 HDSTBP-0 HDSTBN-3 HDSTBN-2 HDSTBN-1 HDSTBN-0

R38

1K CPUPWRGD THERMTRIPA20M-

VCCVID STPCLKCPUSLPSMIINIT-

B

VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS

Y5 Y25 Y22 Y2 W6 W3 W24 W21 V4 V26 V23 V1 U5 U25 U22 U2 T6 T3 T24 T21 R4

IGNNEINTR NMI HTMS DBRESET CPURSTHTDO HTDI

R59 R50 R62 R73 R109 R102 R63 R49

470 470 470 39 150 51_1% 75 150

B

HTCK HTRST-

R58 R68

27 680

G6 J2 J22 J25 J5 K21 K24 K3 K6 L1 L23 L26 L4 M2 M22 M25 M5 N21 N24 N3 N6 P2 P22 P25 P5 R1 R23 R26

SOCKET_478

A
JET WAY INFORMATION
Title

A

SOCKET 478 - 2
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 4 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8

7

6

5

4

3

2

1

D
VCCP Put these capacitors at processor NORTH SIDE VCCP Put these capacitors INSIDE PROCESSOR CAVITY

D

C101 10uF_1206 C55 10uF_1206 C66 10uF_1206 C85 10uF_1206 C108 C59 10uF_1206 C72 10uF_1206 C90 10uF_1206 C112 10uF_1206 C62 10uF_1206 C79 10uF_1206 C97 10uF_1206 C117 10uF_1206 C51 10uF_1206 C74 10uF_1206 C80 10uF_1206 C86 10uF_1206 C92 10uF_1206 C103 10uF_1206 C81 10uF_1206 C87 10uF_1206 C98 10uF_1206

C91 10uF_1206 C99 10uF_1206

C

10uF_1206

C

VCCP

Put these capacitors at processor SOUTH SIDE

Put these capacitors at NB SOLDER SIDE

VCCP C100 C484 C82 C67 10uF_1206 C88 10uF_1206 C109 10uF_1206 C76 10uF_1206 C95 10uF_1206 0.1U C115 10uF_1206 C490 0.1U C492 0.1U VDDQ C493 0.1U C496 0.1U C494 0.1U C495 0.1U Title 0.1U C491 0.1U C489 C487 0.1U C488 VCC3_MEM VCC1.8V 10uF_1206 0.1U C485 10uF_1206 C118 10uF_1206 C105 10uF_1206 0.1U C483

B

B

A

0.1U

A
JET WAY INFORMATION
SOCKET 478 - 3
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 5 of 38

Rev 1.0

8

7

6

5

4

3

2

1

8
4 4 4 3 4 3 HDSTBP-[0..3] HDSTBN-[0..3] DBI-[0..3] HD-[0..63] HASTB-[0..1] HA-[3..31] HREQ-[0..4] RS-[0..2] HDSTBP-[0..3] HDSTBN-[0..3]

7

6

5

4

3
AAD[0..31] SBA[0..7]

2
AAD[0..31] SBA[0..7] ACBE-[0..3] 16 16 16 16 16 16

1

DBI-[0..3] ACBE-[0..3] C1XAVDD C1XAVSS C4XAVDD C4XAVSS HVREF HNCVREF HNCOMP HPCOMP AAD31 AAD30 AAD29 AAD28 AAD27 AAD26 AAD25 AAD24 AAD23 AAD22 AAD21 AAD20 AAD19 AAD18 AAD17 AAD16 AAD15 AAD14 AAD13 AAD12 AAD11 AAD10 AAD9 AAD8 AAD7 AAD6 AAD5 AAD4 AAD3 AAD2 AAD1 AAD0 ST2 ST1 ST0 SBA0 SBA1 SBA2 SBA3 SBA4 SBA5 SBA6 SBA7 HD-[0..63] HASTB-[0..1] HA-[3..31] HREQ-[0..4] AH25 AJ25 AH27 AJ27 U21 T21 P21 N21 J17 B20 B19 A19 A7 F9 B7 M6 M5 M4 L3 L6 L4 K6 L2 K3 J3 K4 J2 J6 J4 J1 H6 F4 F1 G6 E3 F5 E2 E4 E1 D3 D4 C2 F7 C3 E6 B2 D5 RS-[0..2] CPUCLK1 CPUCLK-1 HLOCKDEFERHTRDYCPURSTCPUPWRGD BPRIBREQ0RS-2 RS-1 RS-0 D6 A3 D7 C5 A5 C6 D8 C7 U11 ACBE-3 ACBE-2 ACBE-1 ACBE-0 AREQAGNTAFRAMEAIRDYATRDYADEVSELASERRASTOPAPAR RBFWBFPIPEAGP8XDET ADBIH ADBIL SBSTB SBSTBADSTB0 ADSTB-0 ADSTB1 ADSTB-1 AGPCLK0 AGPRCOMP A1XAVDD A1XAVSS A4XAVDD A4XAVSS AVREFGC AVREFGC 16 AGPCLK0 14 AREQAGNTAFRAMEAIRDYATRDYADEVSELASERRASTOP16 16 16 16 16 16 16 16 16 ST[0..2] ADSTB[0..1] ADSTB-[0..1]

ST[0..2] ADSTB[0..1] ADSTB-[0..1]

D
3

3

D

HPCOMP HNCOMP HNCOMPVREF

C1XAVSS C1XAVDD

C4XAVSS C4XAVDD

HVREF0 HVREF1 HVREF2 HVREF3 HVREF4

ST0 ST1 ST2 AAD0 AAD1 AAD2 AAD3 AAD4 AAD5 AAD6 AAD7 AAD8 AAD9 AAD10 AAD11 AAD12 AAD13 AAD14 AAD15 AAD16 AAD17 AAD18 AAD19 AAD20 AAD21 AAD22 AAD23 AAD24 AAD25 AAD26 AAD27 AAD28 AAD29 AAD30 AAD31

14 CPUCLK1 14 CPUCLK-1 HLOCKDEFERHTRDY4 CPURST4 CPUPWRGD 4 BPRI4 BREQ04 4 4

AJ26 AH26 U24 U26 V26 C20 D19 T27 U25 T24 T26 U29 V28 T28 U28 W26 V24 V27 W28 W29 W24 W25 Y27 AD24 AA24 AF26 AE25 AH28 AD26 AG29 AE26 AF28 AC24 AG28 AE29 AD28 AC25 AD27 AE28 AF27 AB24 AB26 AC28 AC26 AC29 AA26 AB28 AB27 AA25 AA29 AA28 Y26 Y24 Y28

SBA7 SBA6 SBA5 SBA4 SBA3 SBA2 SBA1 SBA0

CPUCLK CPUCLK# HLOCK# DEFER# HTRDY# CPURST# CPUPWRGD BPRI# BREQ0# RS#2 RS#1 RS#0 ADS# HITM# HIT# DRDY# DBSY# BNR# HREQ#4 HREQ#3 HREQ#2 HREQ#1 HREQ#0 HASTB#1 HASTB#0 HA#31 HA#30 HA#29 HA#28 HA#27 HA#26 HA#25 HA#24 HA#23 HA#22 HA#21 HA#20 HA#19 HA#18 HA#17 HA#16 HA#15 HA#14 HA#13 HA#12 HA#11 HA#10 HA#9 HA#8 HA#7 HA#6 HA#5 HA#4 HA#3

AC/BE#3 AC/BE#2 AC/BE#1 AC/BE#0 AREQ# AGNT# AFRAME# AIRDY# ATRDY# ADEVSEL# ASERR# ASTOP# APAR RBF# WBF# PIPE# AGP8XDET ADBIH ADBIL SB_STB SB_STB#

F6 F3 H4 K5 C9 A6 G2 G1 G3 G4 H5 H1 H3 E8 F8 D9 D10 B3 C4 B5 A4 K1 L1 C1 D1 B10 M1 B9 A9 B8 A8 M3 M2 F20 F23 K24 P24 F21 F24 L24 N25

AGP

ADS4 HITM4 HIT4 DRDY4 DBSY4 BNR-

4

ADSHITMHITDRDYDBSYBNRHREQ-4 HREQ-3 HREQ-2 HREQ-1 HREQ-0 HASTB-1 HASTB-0 HA-31 HA-30 HA-29 HA-28 HA-27 HA-26 HA-25 HA-24 HA-23 HA-22 HA-21 HA-20 HA-19 HA-18 HA-17 HA-16 HA-15 HA-14 HA-13 HA-12 HA-11 HA-10 HA-9 HA-8 HA-7 HA-6 HA-5 HA-4 HA-3

APAR

RBF- 16 WBF- 16 PIPE- 16 AGP8XDET 16 ADBIH 16 ADBIL 16 SBSTB 16 SBSTB- 16

C

C

650-1
HOST
HD#63 HD#62 HD#61 HD#60 HD#59 HD#58 HD#57 HD#56 HD#55 HD#54 HD#53 HD#52 HD#51 HD#50 HD#49 HD#48 HD#47 HD#46 HD#45 HD#44 HD#43 HD#42 HD#41 HD#40 HD#39 HD#38 HD#37 HD#36 HD#35 HD#34 HD#33 HD#32 HD#31 HD#30 HD#29 HD#28 HD#27 HD#26 HD#25 HD#24 HD#23 HD#22 HD#21 HD#20 HD#19 HD#18 HD#17 HD#16 HD#15 HD#14 HD#13 HD#12 HD#11 HD#10 HD#9 HD#8 HD#7 HD#6 HD#5 HD#4 HD#3 HD#2 HD#1 HD#0

AD_STB0 AD_STB#0 AD_STB1 AD_STB#1 AGPCLK AGPRCOMP A1XAVDD A1XAVSS A4XAVDD A4XAVSS AGPVREF AGPVSSREF HDSTBN#3 HDSTBN#2 HDSTBN#1 HDSTBN#0 HDSTBP#3 HDSTBP#2 HDSTBP#1 HDSTBP#0

HDSTBN-3 HDSTBN-2 HDSTBN-1 HDSTBN-0 HDSTBP-3 HDSTBP-2 HDSTBP-1 HDSTBP-0

C255 0.1uF R188 AGPRCOMP 60_1%

VDDQ

B

VCC3 L31 A1XAVDD 1 FB0603-31 2

B

DBI#3 DBI#2 DBI#1 DBI#0

C242 650 A1XAVSS 0.1uF

C241 0.01uF

C240 10uF

B21 F19 A21 E19 D22 D20 B22 C22 B23 A23 D21 F22 D24 D23 C24 B24 E25 E23 D25 A25 C26 B26 B27 D26 B28 E26 F28 G25 F27 F26 G24 H24 G29 J26 G26 J25 H26 G28 H28 J24 K28 J29 K27 J28 M24 L26 K26 L25 L28 M26 P26 L29 N24 N26 M27 N28 P27 N29 R24 R28 M28 P28 R26 R29 R134 HNCOMP 20_1% R129 HPCOMP 112_1% Rds-on(p) = 56 ohm HPCVERF = 2/3 VCCP VCCP Rds-on(n) = 10 ohm HNCVERF = 1/3 VCCP HD-0 HD-1 HD-2 HD-3 HD-4 HD-5 HD-6 HD-7 HD-8 HD-9 HD-10 HD-11 HD-12 HD-13 HD-14 HD-15 HD-16 HD-17 HD-18 HD-19 HD-20 HD-21 HD-22 HD-23 HD-24 HD-25 HD-26 HD-27 HD-28 HD-29 HD-30 HD-31 HD-32 HD-33 HD-34 HD-35 HD-36 HD-37 HD-38 HD-39 HD-40 HD-41 HD-42 HD-43 HD-44 HD-45 HD-46 HD-47 HD-48 HD-49 HD-50 HD-51 HD-52 HD-53 HD-54 HD-55 HD-56 HD-57 HD-58 HD-59 HD-60 HD-61 HD-62 HD-63

VCCP

E21 A27 H27 R25 DBI-0 DBI-1 DBI-2 DBI-3

VCC3 L32 A4XAVDD 1 FB0603-31 2

VCCP

C248 VCC3 R128 75_1% C179 0.01uF HVREF R135 150_1% L19 C180 0.01uF HNCVREF C168 R131 150_1% C182 0.01uF C486 0.1uF R132 75_1% 0.1uF C183 0.01uF C1XAVSS place this capacitor under 650 solder side C4XAVSS Title C167 0.01uF C1XAVDD 1 FB0603-31 2 C4XAVDD 1 L18 FB0603-31 2 A4XAVSS C162 10uF VCC3 0.1uF

C247 0.01uF

C246 10uF

A

A

C172 10uF

C160 0.1uF

C161 0.01uF

JET WAY INFORMATION
645/650-1 (HOST/AGP)
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 6 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8
18 18 18 MA[0..14] MD[0..63] DQM[0..7]

7
MA[0..14] MD[0..63] DQM[0..7]

6

5

4

3

2

1

VCC3_MEM Rs place close to DIMM1

D

CKE[0..3]

CKE[0..3]

18,19 R127 150_1%

D
U11-1 C173 0.01uF DDRVREFA R133 150_1%

18

DQS0

18

DQS1

C
18 DQS2

18

DQS3

MD0 MD1 MD2 MD3 MD4 MD5 MD6 MD7 DQM0 DQS0 MD8 MD9 MD10 MD11 MD12 MD13 MD14 MD15 DQM1 DQS1 MD16 MD17 MD18 MD19 MD20 MD21 MD22 MD23 DQM2 DQS2 MD24 MD25 MD26 MD27 MD28 MD29 MD30 MD31 DQM3 DQS3 MD32 MD33 MD34 MD35 MD36 MD37 MD38 MD39 DQM4 MD40 MD41 MD42 MD43 MD44 MD45 MD46 MD47 DQM5 MD48 MD49 MD50 MD51 MD52 MD53 MD54 MD55 DQM6 MD56 MD57 MD58 MD59 MD60 MD61 MD62 MD63 DQM7

B

AJ23 AG22 AH21 AJ21 AD23 AE23 AF22 AF21 AD22 AH22 AD21 AG20 AE19 AF19 AE21 AD20 AD19 AH19 AF20 AH20 AF18 AG18 AH17 AD16 AD18 AD17 AF17 AJ17 AE17 AH18 AD14 AG14 AJ13 AE13 AJ15 AF14 AD13 AF13 AH13 AH14 AD10 AH10 AE9 AD8 AG10 AF10 AH9 AF9 AD9 AJ9 AH5 AG4 AE5 AH3 AG6 AF6 AF5 AF4 AH4 AJ3 AE4 AD6 AE2 AC5 AG2 AG1 AF3 AC6 AD4 AF2 AB6 AD3 AA6 AB3 AC4 AE1 AD2 AC1 AB4 AC2

MD0 MD1 MD2 MD3 MD4 MD5 MD6 MD7 DQM0 DQS0/CSB#0 MD8 MD9 MD10 MD11 MD12 MD13 MD14 MD15 DQM1 DQS1/CSB#1 MD16 MD17 MD18 MD19 MD20 MD21 MD22 MD23 DQM2 DQS2/CSB#2 MD24 MD25 MD26 MD27 MD28 MD29 MD30 MD31 DQM3 DQS3/CSB#3 MD32 MD33 MD34 MD35 MD36 MD37 MD38 MD39 DQM4 DQS4/CSB#4 MD40 MD41 MD42 MD43 MD44 MD45 MD46 MD47 DQM5 DQS5/CSB#5 MD48 MD49 MD50 MD51 MD52 MD53 MD54 MD55 DQM6 DQS6/CSB#6 MD56 MD57 MD58 MD59 MD60 MD61 MD62 MD63 DQM7 DQS7/CSB#7 650

Rs place close to DIMM1

C184 0.01uF

MA0 MA1 MA2 MA3 MA4 MA5 MA6 MA7 MA8 MA9 MA10 MA11 MA12 MA13 MA14 SRAS# SCAS# SWE#

AH11 AF12 AH12 AG12 AD12 AH15 AF15 AH16 AE15 AD15 AF11 AG8 AJ11 AG16 AF16 AH8 AJ7 AH7

MA0 MA1 MA2 MA3 MA4 MA5 MA6 MA7 MA8 MA9 MA10 MA11 MA12 MA13 MA14 DDRVREFB SRASSCASSWESRAS- 18 SCAS- 18 SWE- 18

VCC3_MEM

C250 0.01uF

R182 150_1%

C
C249 0.01uF R181 150_1%

650-2

CS#0 CS#1 CS#2 CS#3 CS#4 CS#5

AE7 AF7 AH6 AJ5 AF8 AD7

CS-0 CS-1 CS-2 CS-3

CS-0 CS-1 CS-2 CS-3

18 18 18 18

CKE0 CKE1 CKE2 CKE3 CKE4 CKE5 S3AUXSW#

AB2 AA4 AB1 Y6 AA5 Y5 Y4

CKE0 CKE1 CKE2 CKE3 S3AUXSW-

FWDSDCLKO

C204

NC-10pF

S3AUXSW-

37

SDCLK FWDSDCLKO SDRCLKI

AA3 AD11 AE11

SDCLK R151 0 FWDSDCLKO

SDCLK FWDSDCLKO SDCLKI 15

14 15 SDAVDD 1 L40 FB0603-31 2

VCC3

B
C292 10uF

SDAVDD SDAVSS DDRAVDD DDRAVSS

Y1 Y2 AA1 AA2

SDAVDD SDAVSS SDAVSS DDRAVDD DDRAVSS

C273 0.1uF

C280 0.01uF

DDRVREFA DDRVREFB DRAM_SEL

AJ19 AH2 W3

DDRVREFA DDRVREFB R211 4.7K DDRAVDD 1 L39 FB0603-31 2

VCC3

C274 0.1uF DDRAVSS

C262 0.01uF

C293 10uF

A

A
JET WAY INFORMATION
Title

645/650-2 (MEMORY for SDR)
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 7 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8

7

6
NB Hardware Trap Table

5

4

3

2

1

NOTE: This page is for universal PCB design( suitable for both 645 or 650)

10 10 10

ZAD[0..15] ZSTB[0..1] ZSTB-[0..1]

ZAD[0..15] ZSTB[0..1] ZSTB-[0..1] DLLEN# DRAM_SEL TRAP0 TRAP1 CSYNC RSYNC LSYNC 0 enable PLL SDR normal 1 disable PLL DDR NB debug mode Default 0 1(DDR) 0 0 0 1 0 embedded pull-low (30~50K Ohm) yes yes yes

D

TV selection, NTSC/PAL(0/1) enable VB enable VGA interface enable panel link

D

U11-2 650 VCC1.8V 14 10 10 R193 150_1% C271 0.1uF ZVREF R194 150_1% C256 0.1uF ZAD0 ZAD1 ZAD2 ZAD3 ZAD4 ZAD5 ZAD6 ZAD7 ZAD8 ZAD9 ZAD10 ZAD11 ZAD12 ZAD13 ZAD14 ZAD15 ZVREF VDDZCMP ZCMP_N ZCMP_P VSSZCMP Z1XAVDD Z1XAVSS VCC3 L37 1 C286 10uF FB0603-31 2 C272 0.1uF C259 0.01uF Z4XAVSS Z4XAVDD Z4XAVDD Z4XAVSS ZCLK0 ZUREQ ZDREQ ZCLK0 ZUREQ ZDREQ ZSTB0 ZSTB-0 ZSTB1 ZSTB-1 V3 U6 U1 T3 T1 P1 P3 T4 R3 T5 T6 R2 R6 R1 R4 P4 N3 P5 P6 N1 N6 N2 N4 U3 V5 U4 U2 V6 W1 W2 V2 V1 ZCLK VOSCI ZUREQ ZDREQ ZSTB0 ZSTB#0 ZSTB1 ZSTB#1 ZAD0 ZAD1 ZAD2 ZAD3 ZAD4 ZAD5 ZAD6 ZAD7 ZAD8 ZAD9 ZAD10 ZAD11 ZAD12 ZAD13 ZAD14 ZAD15 ZVREF VDDZCMP ZCMP_N ZCMP_P VSSZCMP Z1XAVDD Z1XAVSS Y3 PCIRST# W4 PWROK W6 AUXOK Z4XAVDD Z4XAVSS D11 TRAP1 E10 TRAP0 REFCLK0

C15

REFCLK0

14 for 650 only VCC3 R257 NC-4.7K JP9 TRAP1 R136 NC-4.7K 1 2 3 NC-HEADER3 CSYNC LSYNC R258 R259 NC-4.7K NC-4.7K

VGA
ROUT GOUT BOUT HSYNC VSYNC VGPIO0 VGPIO1 INT#A CSYNC RSYNC LSYNC A12 B13 A13 F13 R157 E13 R150 D13 R137 NC-100 D12 B11 E12 A11 F12 E14 D14 F14 B12 C12 C13 C14 B15 A15 B14 A14 ROUT GOUT BOUT NC-33 HSYNC NC-33 VSYNC DDC1CLK NC-100 VGADDC1DATA R451 INT-A CSYNC RSYNC LSYNC VCOMP VRSET VVBWN DACAVDD2 DACAVSS1 DACAVDD2 DACAVSS2 DCLKAVDD DCLKAVSS ECLKAVDD ECLKAVSS ROUT GOUT BOUT HSYNC VSYNC 17 17 17 17 17 17

RSYNC

DDC1CLK 17 VGADDC1DATA INT-A CSYNC RSYNC LSYNC

C

Stereo Glass

10,16,21,22 16 16 16

C

HyperZip
VCOMP VRSET VVBWN DACAVDD1 DACAVSS1 ENTEST PWRGD AUXOK R167 C294 C295 4.7K 0.1uF 0.1uF

VCC3 L38 1 C287 10uF FB0603-31 2 C261 0.1uF C260 0.01uF Z1XAVSS Z1XAVDD

650-3
A10 TESTMODE2 F11 TESTMODE1 C11 TESTMODE0 E11 DLLEN# F10 ENTEST

DACAVDD2 DACAVSS2 DCLKAVDD DCLKAVSS ECLKAVDD ECLKAVSS

B
VCC1.8V L36 1 C285 10uF FB0603-31 2 C258 0.1uF C270 0.01uF R189 R190 56 56

10,16,21,22,23,27,29 PCIRST11,38 PWRGD 11,34 AUXOK

PCIRSTPWRGD AUXOK

B
FOR SIS650
L29 DACAVDD2 DCLKAVDD C206 NC-0.01uF DCLKAVSS 1 C205 NC-0.1uF DACAVSS1 VCC3 L23 2 NC-FB0603-31 1 C229 NC-0.01uF C228 2 NC-FB0603-31 C223 NC-10uF VCC1.8V

ENTEST

TRAP1

VDDZCMP ZCMP_N ZCMP_P VSSZCMP

NC-0.1uF

VVBWN VCC3 ECLKAVDD C212 2 NC-0.01uF ECLKAVSS 1 C211 NC-0.1uF L24 2 NC-FB0603-31 C210 NC-10uF VCOMP

C237 C222

NC-0.1uF NC-0.1uF VCC1.8V L26

VRSET

DACAVDD2 C221 NC-0.1uF DACAVSS2 C236 NC-1uF NC-FB0603-31

R146 NC-140_1%

A
1

A
NB Heatsink
JET WAY INFORMATION
Title

645/650-3 (HyperZip/Others)
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 8 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8
VCCP

7

6
VCC1.8V

5
VCC3 SB3V

4

3

2

1

H21 H22 J16 J20 J21 J22 K16 K17 K18 K19 K20 K21 L20 M20 N20 P20 R20 R21 T20 U20 V20 W20 Y20 Y21 AA20 AA21 AA22 AB21 AB22

L12 L14 L15 L16 L18 M11 M19 N11 P19 R11 T19 U11 V19 W11 W13 W15 W17

VCCP A16 A17 A18 B16 B17 B18 C16 C17 C18 D15 D16 D17 D18 E15 E16 E17 E18 F15 F16 F17 F18 AB5 AD5 AE6 AE8 AE10 AE12 AE14 AE16 AE18 AE20 AE22 V10 V11 W18 Y9 Y10 Y12 Y14 Y16 Y18 Y19 AA8 AA9 AA10 AA13 AA14 AA15 AA16 AA17 AB8 AB9 AB13 AB17 VDDQ E5 E7 E9 G5 J5 L5 H8 H9 J8 J9 J10 J13 K9 K11 K13 L10 N9 N10 VCC1.8V N5 R5 U5 W5 P9 P10 R9 R10 T9 T10 T11 VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDM VDDQ VDDQ VDDQ VDDQ VDDQ VDDQ VDDQ VDDQ VDDQ VDDQ VDDQ VDDQ VDDQ VDDQ VDDQ VDDQ VDDQ VDDQ VDDZ VDDZ VDDZ VDDZ VDDZ VDDZ VDDZ VDDZ VDDZ VDDZ VDDZ

W10 Y11 Y13 Y15 Y17

J14 J15 K15 K10 K12 K14 M10

P11

U11-3

PVDDM PVDDM PVDDM PVDDM PVDDM

PVDDZ

OVDD OVDD OVDD PVDD PVDD PVDD PVDD

VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT VTT

IVDD IVDD IVDD IVDD IVDD IVDD IVDD IVDD IVDD IVDD IVDD IVDD IVDD IVDD IVDD IVDD IVDD

D

AUX1.8 AUX3.3 VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS

U10 U9 A20 A22 A24 A26 C19 C21 C23 C25 C27 E20 E22 E24 F25 H25 K25 M25 P25 T25 V25 Y25 AB25 AD25 E27 G27 J27 L27 N27 R27 U27 W27 AA27 AC27 AE27 D29 F29 H29 K29 M29 P29 T29 V29 Y29 AB29 AD29 AF29 AE24 AG25 B4 B6 C8 C10 D2 F2 H2 K2 P2 T2 V4 AD1 AF1 AC3 AE3 AG3 AG5 AG7 AG9 AG11 AG13 AG15 AG17 AG19 AG21 AG23 AJ4 AJ6 AJ8 AJ10 AJ12 AJ14 AJ16 AJ18 AJ20 AJ22 AJ24 AG27

SB1.8V SB3V

SB1.8V

D

C304 1uF C305 1uF VCCP

C188 10uF_1206 C178 10uF_1206 C151 10uF_1206 C150 10uF_1206

C202 1uF C203 1uF C201 1uF C175

VCC3_MEM

C

C
1uF

650-4
Power

B

B

VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS

B25 VSS C28 VSS C29 VSS D27 VSS D28 VSS E28 VSS E29 VSS AF23 VSS AF24 VSS AF25 VSS AG24VSS AG26VSS AH23VSS AH24VSS

A

PVDDP PVDDP PVDDP PVDDP PVDDP PVDDP

A
650

L17 L19 N19 R19 U19 W19

M12 M13 M14 M15 M16 M17 M18 N12 N13 N14 N15 N16 N17 N18 P12 P13 P14 P15 P16 P17 P18 R12 R13 R14 R15 R16 R17 R18 T12 T13 T14 T15 T16 T17 T18 U12 U13 U14 U15 U16 U17 U18 V12 V13 V14 V15 V16 V17 V18

JET WAY INFORMATION
Title

645/650-4 (Powers)
VCC1.8V Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 9 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8
VCC3 INT-C INT-A INT-D INT-B RN95 2 4 6 8 1 3 5 7 8.2K_8P4R 21,22 PREQ-[0..4]

7
21,22 AD[0..31]

6
AD[0..31]

5

4

3

2
VCC1.8V R356 0

1

J5 J4 H2 H1 J3 K4 J2 J1 K5 K2 L3 K1 L1 L4 L5 L2 N5 P2 P3 P4 R2 R3 R1 T1 P5 T2 U1 U2 T3 R5 U3 V1

AD31 AD30 AD29 AD28 AD27 AD26 AD25 AD24 AD23 AD22 AD21 AD20 AD19 AD18 AD17 AD16 AD15 AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0

AD0 AD1 AD2 AD3 AD4 AD5 AD6 AD7 AD8 AD9 AD10 AD11 AD12 AD13 AD14 AD15 AD16 AD17 AD18 AD19 AD20 AD21 AD22 AD23 AD24 AD25 AD26 AD27 AD28 AD29 AD30 AD31 U16 C418 0.01uF IDEAVDD IDEAVSS ICHRDYA IDREQA IIRQA CBLIDA IIOR#A IIOW#A IDACK#A IDSAA2 IDSAA1 IDSAA0 IDECSA#1 IDECSA#0 ICHRDYB IDREQB IIRQB CBLIDB Y3 Y4 W10 V10 Y11 U12 V11 Y9 Y10 T11 U11 W11 T12 V12 W17 Y17 T16 U17 T14 W16 V16 Y18 T15 V17 U16 W18 U10 V9 W8 T9 Y7 V7 Y6 Y5 W6 U8 W7 V8 U9 Y8 T10 W9 Y16 V15 U14 W14 V13 T13 Y13 Y12 W12 W13 U13 Y14 V14 W15 Y15 U15 IDESAB2 IDESAB1 IDESAB0 IDECS-B1 IDECS-B0 IDEDA0 IDEDA1 IDEDA2 IDEDA3 IDEDA4 IDEDA5 IDEDA6 IDEDA7 IDEDA8 IDEDA9 IDEDA10 IDEDA11 IDEDA12 IDEDA13 IDEDA14 IDEDA15 IDEDB0 IDEDB1 IDEDB2 IDEDB3 IDEDB4 IDEDB5 IDEDB6 IDEDB7 IDEDB8 IDEDB9 IDEDB10 IDEDB11 IDEDB12 IDEDB13 IDEDB14 IDEDB15 IDESAB[0..2] IDECS-B[0..1] IDESAA2 IDESAA1 IDESAA0 IDECS-A1 IDECS-A0 IDESAA[0..2] IDECS-A[0..1]

C419 0.1uF

C420 0.1uF

D
21,22 PGNT-[0..4]

PREQ-4 PREQ-3 PREQ-2 PREQ-1 PREQ-0 PGNT-4 PGNT-3 PGNT-2 PGNT-1 PGNT-0 C/BE-3 C/BE-2 C/BE-1 C/BE-0

F1 F2 E1 H5 F3 H3 G1 G2 G3 H4 K3 M4 P1 R4 E3 F4 E2 G4 M3 M1 M2 N4 M5 N3 N1 N2 Y2 C3

PREQ#4 PREQ#3 PREQ#2 PREQ#1 PREQ#0 PGNT#4 PGNT#3 PGNT#2 PGNT#1 PGNT#0 C/BE#3 C/BE#2 C/BE#1 C/BE#0 INT#A INT#B INT#C INT#D FRAME# IRDY# TRDY# STOP# SERR# PAR DEVSEL# PLOCK# PCICLK PCIRST#

D
ICHRDYA IDEREQA IDEIRQA CBLIDA IDEIOR-A IDEIOW-A IDACK-A ICHRDYA 23 IDEREQA 23 IDEIRQA 23 CBLIDA 23 IDEIOR-A 23 IDEIOW-A 23 IDACK-A 23 IDESAA[0..2] IDECS-A[0..1] 23 23

PCI

21,22

C/BE-[0..3]

8,16,21,22 16,21,22 21,22 21,22

INT-A INT-B INT-C INT-D

INT-A INT-B INT-C INT-D FRAMEIRDYTRDYSTOPSERRPAR DEVSELPLOCK96XPCLK PCIRSTR345 33

21,22 FRAME21,22 IRDY21,22 TRDY21,22 STOP21,22 SERR21,22 PAR 21,22 DEVSEL21,22 PLOCK14 8,16,21,22,23,27,29 96XPCLK PCIRST-

ICHRDYB IDEREQB IDEIRQB CBLIDB IDEIOR-B IDEIOW-B IDACK-B

ICHRDYB 23 IDEREQB 23 IDEIRQB 23 CBLIDB 23 IDEIOR-B 23 IDEIOW-B 23 IDACK-B 23 IDESAB[0..2] IDECS-B[0..1] 23 23

IDE

IIOR#B IIOW#B IDACK#B IDSAB2 IDSAB1 IDSAB0 IDECSB#1 IDECSB#0

C

C

14

ZCLK1 8 8 8 ZSTB0 8 ZSTB-0 ZSTB1 ZSTB-1

ZCLK1 ZSTB0 ZSTB-0 ZSTB1 ZSTB-1 ZUREQ ZDREQ SVDDZCMP SZCMP_N

V20 N19 N20 K20 K19 N16 N17 R19 N18 R18 P18 U20 U19 T20 T19 R20 P20

ZCLK ZSTB0 ZSTB0# ZSTB1 ZSTB1# ZUREQ ZDREQ VDDZCMP ZCMP_N ZCMP_P VSSZCMP Z1XAVDD Z1XAVSS Z4XAVDD Z4XAVSS VZREF ZVSSREF

961A-1

8 8

ZUREQ ZDREQ

IDA0 IDA1 IDA2 IDA3 IDA4 IDA5 IDA6 IDA7 IDA8 IDA9 IDA10 IDA11 IDA12 IDA13 IDA14 IDA15 IDB0 IDB1 IDB2 IDB3 IDB4 IDB5 IDB6 IDB7 IDB8 IDB9 IDB10 IDB11 IDB12 IDB13 IDB14 IDB15

IDEDA[0..15]

23

VCC1.8V

SZCMP_P SVSSZCMP SZ1XAVDD SZ1XAVSS SZ4XAVDD SZ4XAVSS SZVREF

B

R270 150_1%

C313 0.1uF SZVREF

B

HyperZip
ZAD0 ZAD1 ZAD2 ZAD3 ZAD4 ZAD5 ZAD6 ZAD7 ZAD8 ZAD9 ZAD10 ZAD11 ZAD12 ZAD13 ZAD14 ZAD15 M18 M19 M17 M16 M20 L16 L20 L18 K18 J20 K17 K16 H20 J18 H19 H18

R276 150_1%

C341 0.1uF

IDEDB[0..15] 961 Put near 961A Chip.

23

ZAD15 ZAD14 ZAD13 ZAD12 ZAD11 ZAD10 ZAD9 ZAD8 ZAD7 ZAD6 ZAD5 ZAD4 ZAD3 ZAD2 ZAD1 ZAD0 ZSTB0 ZSTB1 R298 R296 NC NC

VCC1.8V

8

ZAD[0..15]

Analog Power supplies of Transzip function for 961A Chip. VCC3 VCC3 L43 1 C306 10uF FB0603-31 2 C343 0.1uF C342 0.01uF SZ1XAVSS SZ1XAVDD C302 10uF 1 L42 2 FB0603-31 SZ4XAVDD C315 0.1uF C314 0.01uF SZ4XAVSS

VCC1.8V L41 1 FB0603-31 C312 10uF C334 0.1uF C335 0.01uF 2 R299 R240 56 56 SVDDZCMP SZCMP_N SZCMP_P SVSSZCMP Title

ZSTB-0 ZSTB-1

R297 R295

NC NC

A

A
JET WAY INFORMATION
961A-1 (PCI/IDE/HyperZip)
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 10 of 38

Rev 0.1

8

7

6

5

4

3

2

1

Programable on-die pull-high strength for CPU_S: ( Infinite, 150, 110, 56 Ohm)

8

7
T18 P16 R17 R16 Y20 U18 T17 W20 V19 Y19 V18 W19

U16-1

6

5
MIICLK25M

4
A8 A6

Put very closed to 961A CHIP Y3 MCLK25M

3
MCLK25M 12 TXCLK 27 TXEN TXD0 TXD1 TXD2 TXD3 27 27

2
Analog power of MII L44 MIIAVDD 1 C381 0.1uF MIIAVSS

1
SB3V

4 INIT4 A20M4 SMI4 INTR 4 NMI 4 IGNNE4 FERR4 STPCLK4 CPUSLP-

INITA20MSMIINTR NMI IGNNEFERRSTPCLKCPUSLP-

INIT# A20M# SMI# INTR NMI IGNNE# FERR# STPCLK# CPUSLP# APICCK APICD0 APTCD1

CRYSTAL_25M TXCLK R336 TXEN TXD0 TXD1 TXD2 TXD3

MIITXCLK

CPU_S APIC LPC

FB0603-31

2 C377

MIITXEN MIITXD0 MIITXD1 MIITXD2

B6 R334 E8 R335 D7 R342 C6 R347 B4

33 33 33 33 33

C382 0.01uF 10uF

D

14

REFCLK3

27 27 27 Put closed to 961A CHIP OSC32KHO

D

29

LAD[0..3] LAD0 LAD1 LAD2 LAD3 V5 T7 U6 W5 W4 U7 V6 LAD0 LAD1 LAD2 LAD3 LFRAME# LDRQ# SIRQ

MIITXD3

29

LFRAME29 LDRQ29 SIRQ

LFRAMELDRQSIRQ

MIIRXCLK MIIRXDV MIIRXER

A7 C7 C8 D8 A5 B5 A4

RXCLK RXDV RXER RXD0 RXD1 RXD2 RXD3

RXCLK RXDV RXER RXD0 RXD1 RXD2 RXD3

27 27 27 27 27 27 27

OSC32KHI R371 10M Y4 32.768K 2 1 4 C426 15pF 3 C427 20pF

OSC32KHI OSC32KHO 34 BATOK 8,38 PWRGD BATOK PWRGD RTCVDD C428 0.1uF

C2 D2 D3 D1

OSC32KHI OSC32KHO BATOK PWROK

MII RTC

MIIRXD0 MIIRXD1 MIIRXD2

C1 E4

RTCVDD RTCVSS

C
14,18,26,36 14,18,26,36 SMBDAT SMBCLK SMBDAT SMBCLK

961A-2
GPIO

MIIRXD3

SB3V PME-

C

R314

4.7K

MIICOL B2 A1 GPIO20 GPIO19 MIICRS MIIMDC 25,26 26 SDATI0 SDATI1 SDATI0 SDATI1 SDATO R376 SYNC R372 AC_RESETBIT_CLK 0 0 A2 D5 W2 T5 D6 Y1 AC_SDIN0 AC_SDIN1 AC_SDOUT AC_SYNC AC_RESET# AC_BIT_CLK GPIO0 14 REFCLK1 SPKR REFCLK1 SENTEST SPKR PWRBTNPMEPSONAUXOK ACPILED C415 0.1uF W3 G5 V3 A14 B14 D14 A3 A15 OSCI ENTEST SPK PWRBTN# PME# PSON# AUXOK ACPILED GPIO1/LDRQ1# GPIO2/THERM# MIIMDIO MIIAVDD MIIAVSS

B7 E9 R350 C5 R341 E7 B9 B8 MIIAVDD MIIAVSS 33 33

COL CRS MDC MDIO

COL CRS MDC MDIO

27 27 27 27 R343 R391 R390 R373 VCC3 NC-4.7K LDRQNC-4.7K 4.7K NC-4.7K SIRQ LDRQ1SENTEST R442 R330 R388 4.7K 4.7K 0 NEED NOT to place close to 961A RN99 LAD3 LAD2 LAD1 LAD0 1 3 5 7 2 4 6 8

VCC3

12,25,26 SDATO 25,26 SYNC 25,26 AC_RESET25,26 BIT_CLK

SMBALTCLKRUNGPIO0

AC97

4.7K_8P4R R441 4.7K

V2 T8 T4 T6 W1 U5 U4 C4 C14 E6 B3 F5 D4

GPIO0 LDRQ1THERMEXTSMICLKRUNPREQ-5 PGNT-5 SMBALTGPIO13 R370 4.7K RING SDATI2 RN92 SDATI3 GPIO11 CPUSTPR368 NC-4.7K SDATI2 SDATI0 SDATI3 SDATI1 R329 NC-4.7K GPIO pins pull down NEED NOT to place close to 961A EXTSMI33 THERMGPIO11 CPUSTPR389 R369 R346 R6 R420 R421 4.7K 4.7K R_OPEN 4.7K 4.7K 4.7K

12,25,33

B

33 PWRBTN16,21,22,29 PME36,37,38 PSON8,34 AUXOK 33 ACPILED

ACPI /others

VCC3

GPIO

GPIO3/EXTSMI# GPIO4/CLKRUN# GPIO5/PREQ5# GPIO6/PGNT5#

B

PREQ-5 PGNT-5

22 22

GPIO14 SMBDAT SMBCLK

GPIO13 GPIO14

B1 E5 E13 A16 D13 B15

GPIO13/DPRSLPVR GPIO7 GPIO14 GPIO8/RING GPIO15 GPIO16 GPIO17 GPIO11 GPIO18/PMCLK GPIO12/CPUSTP# CPUSTP14 RING 30

36 24 24 24 24

GPIO14 KBDAT KBCLK PMDAT PMCLK

KBDAT KBCLK PMDAT PMCLK

KBC
/geyserville

GPIO9/AC_SDIN2 GPIO10/AC_SDIN3

2 4 6 8 100K_8P4R

1 3 5 7

A

Back-up OSC. Release or not? SB3V X2 4 C414 + NC-10uF C416 NC-0.01uF 2 VCC GND NC OSC 1 3 R367

961 AC'97 Pull-Down: Place near to 961A NC-22 GPIO11 BIT_CLK C425 NC-10pF C429 10pF Size B Date: Document Number CLKRUNSMBALTR375 R344 4.7K 4.7K Title

A
JET WAY INFORMATION
961A-2 (Misc Signals) 645EM
Thursday, January 03, 2002 Sheet 11 of 38 Rev 0.1

NC-OSC_25M

8

7

6

5

4

3

2

1

8
24 UV224 UV2+ 24 UV524 UV5+ UV2UV2+ UV5UV5+

7
RN84 1 3 5 7

6
2 4 6 8 22_8P4R 2 4 6 8 RN83 7 5 3 1 USBV2USBV2+ USBV5USBV5+

5

4

3

2

1

U16-2

D
1 3 5 7

15K_8P4R

RC3 NC-47P_8P4C

14

UCLK48M

UCLK48M USBV0+ USBV0USBV1+ USBV1USBV2+ USBV2USBV3+ USBV3USBV4+ USBV4USBV5+ USBV5-

V4 B18 C18 E14 D15 E16 E15 D18 D19 E18 F18 G18 G19 G20 J16 H17 G17 H16 G16 D16 F17 B17 E19 C11

USBCLK48M UV0+/RSDP0 UV0-/RSDM0 UV1+/RSDP1 UV1-/RSDM1 UV2+/RSDP2 UV2-/RSDM2 UV3+/RSDP3 UV3-/RSDM3 UV4+/RSDP4 UV4-/RSDM4 UV5+/RSDP5 UV5-/RSDM5 OC0# OC1# OC2# OC3# OC4# OC5# USBVDD USBVDD USBVSS USBVSS

NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC

A19 C16 E17 C19 D20 F20 A20 C17 D17 C20 E20 F19 F16 B16 C15 A18 A17 B20 B19 B11 D11 A11 E10 D9 B10 A10 A9 C9 C10 D10 MCLK25MOUT

8 6 4 2

D

22_8P4R 24 UV0+ 24 UV024 UV3+ 24 UV3UV0+ UV0UV3+ UV37 5 3 1 RN76 8 6 4 2 7 5 3 1 8 6 4 2 USBV0+ USBV0USBV3+ USBV3-

RN73 15K_8P4R

RC1 NC-47P_8P4C 8 6 4 2

24 24 24 24 24 24

OC0OC1OC2OC3OC4OC5-

OC0OC1OC2OC3OC4OC5SB3V

USB

NC NC NC NC NC NC

961A-3

7 5 3 1

C
RN82 24 UV4+ 24 UV424 UV1+ 24 UV1UV4+ UV4UV1+ UV11 3 5 7 22_8P4R 2 4 6 8 RN81 15K_8P4R 2 4 6 8 1 3 5 7 RC2 NC-47P_8P4C 2 4 6 8 USBV4+ USBV4USBV1+ USBV1A12 B12 C12 A13 D12 E11 E12 B13 C13

NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC

C

B

1 3 5 7

961

B
MCLK25MOUT R461 NC-0 MCLK25M 11

R462 0 FOR 961 VER:A 961 VER:B

NC R461 ,Add R462 NC R462 ,Add R461

SB Hardware Trap R374 R422 R294 R275

VCC3 SPKR SDATO OC2OC5NC NC NC NC SPKR( LPC addr mapping) SDATO( PCICLK PLL) OC2-( SB debug mode) OC5-( Trap mode)

0

1

Default

embedded pull-low (30~50K Ohm) yes yes yes yes

11,25,33 SPKR 11,25,26 SDATO

disable enable enable PCI AD

enable disable disable ROM

0 0 1 1

A

A
JET WAY INFORMATION

Title

961A-3 (USB)
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 12 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8

7

6

5

4

3

2

1

D

SB1.8V C501 1uF C502 0.1uF

D

VCC1.8V G15 J15 J17 L15 L17 N15 P17 K15 G6 H15 L6 M15 R6 R10 R14 P15 R15 H6 K6 M6 P6 R7 R9 R11 R13 J6 N6 R8 R12 F9 F12 F7 F10 F11 F14 F15 F8 F13 0.1uF C497 0.1uF

U16-3 VDDZ VDDZ VDDZ VDDZ VDDZ VDDZ VDDZ PVDDZ IVDD IVDD IVDD IVDD IVDD IVDD IVDD VTT VTT OVDD OVDD OVDD OVDD OVDD OVDD OVDD OVDD PVDD PVDD PVDD PVDD IVDD_AUX IVDD_AUX OVDD_AUX OVDD_AUX OVDD_AUX OVDD_AUX OVDD_AUX PVDD_AUX PVDD_AUX 961 VSSZ VSSZ VSSZ VSSZ VSSZ VSSZ VSSZ VSSZ VSSZ VSSZ VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS H8 H9 H10 H11 H12 H13 J8 J9 J10 J11 J12 K8 K9 K10 K11 L8 L9 L10 L11 M8 M9 M10 M11 N8 N9 N10 N11 N12 N13

C
VCCP

C

VCC3 VCCP Put under 96X solder side C499 0.1uF VCC1.8V C500 C503 0.1uF 0.1uF C505 0.1uF SB3V SB1.8V

961A -4
Power

B

C504 0.1uF C498

J13 J19 K12 K13 L12 L13 L19 M12 M13 P19

B

A
JET WAY INFORMATION
Title

A

961A-4 (Powers)
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 13 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8
VCC3

7

6
(3 1: 2: 3. OPTIONS) (ICS:ICS952001) (Cypress:CY28342) (Hitachi:HDTS403)

5

4

3

2

1

Main Clock Generator
CPUCLK=645CPUCLK=SDCLK AGPCLK0=AGPCLK1+3.3" ZCLK0=ZCLK1 PCICLK1=PCICLK2=PCICLK3
By-Pass Capacitors Place near to the Clock Outputs U13 ICS-952001 1 11 13 19 28 29 42 48 12 VDDREF VDDZ VDDPCI VDDPCI VDD48 VDDAGP VDDCPU VDDSD PCI_STOP# CPUCLK1 CPUCLK#1 5 8 18 24 25 32 41 46 VCC3 VCC3 VSSREF VSSZ VSSPCI VSSPCI VSS48 VSSAGP VSSCPU VSSSD SDCLK AGPCLK0 AGPCLK1 ZCLK0 ZCLK1 PCICLK_F0/FS3 PCICLK_F1/FS4 PCICLK0 PCICLK1 PCICLK2 PCICLK3 PCICLK4 PCICLK5 REF0/FS0 REF1/FS1 REF2/FS2 48M 24_48M/MULTISEL CPUCLK0 CPUCLK-0 Damping Resistors Place near to the Clock Outputs CPUCLK1 CPUCLK-1 SDCLK CPUCLK0 CPUCLK#0 40 39 44 43 47 31 30 9 10 14 15 16 17 20 21 22 23 2 3 4 27 26 FS3 FS4 R286 2 4 6 8 R280 R281 R283 R282 R208 R209 R454 33 1 3 5 7 96XPCLK SIOPCLK PCICLK1 PCICLK2 PCICLK3 REFCLK0 REFCLK1 REFCLK2 REFCLK3 UCLK48M SIO48M 96XPCLK SIOPCLK PCICLK1 PCICLK2 PCICLK3 10 29 21 21 22 SIOPCLK PCICLK1 PCICLK2 PCICLK3 CN13 10P_8P4C R204 R205 R202 R203 R201 R206 R207 R284 R285 33 33 33 33 22 22 22 22 22 CPUCLK0 CPUCLK-0 CPUCLK1 CPUCLK-1 SDCLK AGPCLK0 AGPCLK1 ZCLK0 ZCLK1 CPUCLK0 CPUCLK-0 CPUCLK1 CPUCLK-1 SDCLK 7 6 16 4 4 6 6 AGPCLK0 AGPCLK1 ZCLK0 ZCLK1 96XPCLK R197 R198 R195 R196 C263 C265 C266 C362 C363 C364 10pF 10pF 10pF 10pF 10pF 10P 49.9_1% 49.9_1% 49.9_1% 49.9_1% L34 FB1206-300

D
C289 0.1uF C339 0.1uF C337 0.1uF C301 0.1uF

D

+ C277 10uF

C290 0.1uF

C338 0.1uF

C283 0.1uF

C281 0.1uF

AGPCLK0 AGPCLK1 ZCLK0 ZCLK1 8 10

C
VCCP R178

R179 10K

R180 10K

11

CPUSTP-

CPUSTP-

45 33

CPU_STOP# PD#/VTT_PWRGD IREF

RN80 FS0 FS1 FS2 MULTISEL FS0

Q16 MMBT3904 10K

Q17 MMBT3904

R191

475_1%

38

33_8P4R NC-33 33 33 33 22 22 NC-0

2 4 6 8

1 3 5 7

C

REFCLK0 8 REFCLK1 11 REFCLK2 16 REFCLK3 11 UCLK48M 12 SIO48M 29 AC97CLK 25 11,18,26,36 11,18,26,36

REFCLK0 REFCLK1 REFCLK2 REFCLK3

C358 C359 C361 C360 C267 C268

NC-10pF 10pF 10pF 10pF 10pF 10pF

VCC3 L35 36 FB0603-300 C264 0.1uF C276 0.1uF C282 0.001uF 37 VSSA XOUT VDDA

SCLK SDATA

35 34

SMBCLK SMBDAT

SMBCLK SMBDAT

UCLK48M SIO48M JP12 HEADER5 5 4 3 2 1 VCC3 6

XIN

Frequency Selection

B

VCC3 Y2 R210 R200 R192 R187 R186 2.7K 2.7K 2.7K 2.7K 2.7K 1 2 3 4 5 S1 10 9 8 7 6 NC-SW_DIP-5 FS0 FS1 FS2 FS3 FS4 R224 R223 R235 R236 R239 10K 10K 10K 10K 10K

B

+ C291 10uF

C269 0.1uF

C278 0.001uF

14.318MHz C336 10pF

7 C311 10pF

ON=1 OFF=0

MULTISEL

R199

R_OPEN

SIS650 CLOCK FS4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 FS3 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 FS2 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 FS1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 FS0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 CPU (MHz) 66.7 100.00 100.00 100.00 100.00 100.00 100.00 100.00 100.00 100.00 100.00 80.00 80.00 95.00 95.00 66.67 SDRAM (MHz) 66.7 100.00 200.00 133.33 150.00 125.00 160.00 133.33 200.00 166.67 166.67 133.33 133.33 95.00 126.67 66.67 ZCLK (MHz) 66.7 66.7 66.7 66.7 60.00 62.50 66.67 80.00 66.67 62.50 71.43 66.67 66.67 63.33 63.33 50.00 AGPCLK (MHz) 66.7 66.7 66.7 66.7 60.00 62.50 66.67 66.67 66.67 62.50 83.33 66.67 66.67 63.33 63.33 50.00 PCI (MHz) 33.33 33.33 33.33 33.33 30.00 31.25 33.33 33.33 33.33 31.25 41.67 33.33 33.33 31.67 31.67 25.00 FS4 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 FS3 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 FS2 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

SIS650 CLOCK FS1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 FS0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 CPU (MHz) 105.00 100.90 108.00 100.90 112.00 133.33 133.33 133.33 100.00 100.00 100.00 133.33 100.00 100.00 100.00 133.33 SDRAM (MHz) 140.00 100.90 144.00 134.53 149.33 100.00 133.33 166.67 133.33 100.00 166.67 160.00 133.00 100.00 166.67 160.00 ZCLK (MHz) 70.00 67.27 72.00 67.27 74.67 66.67 66.67 66.67 80.00 80.00 83.33 80.00 100.00 100.00 100.00 100.00 AGPCLK (MHz) 70.00 67.27 72.00 67.27 74.67 66.67 66.67 66.67 66.67 66.67 62.50 66.67 66.67 66.67 62.50 66.67 PCI (MHz) 35.00 33.63 36.00 33.63 37.33 33.33 33.33 33.33 33.33 33.33 31.25 33.33 33.33 33.33 31.25 33.33

A

A
JET WAY INFORMATION
Title

MAIN CLOCK GENERATOR (3 OPTIONS)
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 14 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8

7

6

5

4

3

2

1

Clock Buffer (SDR)
SDCLK[0..7] SDCLK[0..7] 18 FWDSDCLKO

FWDSDCLKO

7

D
CLK1 ICS9179BF-19 5 11 15 20 25 VDD VDD VDD VDD VDD CLK0 CLK1 CLK2 CLK3 2 4 6 8 0_8P4R RN1 22 SDCLKI SDCLKI 7 RN5 0_8P4R CLK4 CLK5 CLK6 CLK7 CBVDD3 2 4 6 8 1 3 5 7 SDCLK4 SDCLK5 SDCLK6 SDCLK7 1 3 5 7 SDCLK0 SDCLK1 SDCLK2 SDCLK3 By-Pass Capacitors Place near to the Clock Buffer

D

CBVDD3

VCC3

CLK0 CLK1 CLK2 CLK3 CLK4 CLK5 VDDF CLK6 CLK7 CLK8 CLK9 OE

3 4 9 10 13 14 23

CLK0 CLK1 CLK2 CLK3 R11 CBVDD3 CLK4 CLK5 CLK6 CLK7 R9 4.7K

SDCLK0 SDCLK1 SDCLK2 SDCLK3

CN1 2 4 6 8 1 3 5 7

L5 FB0603-300

NC-10_8P4C SDCLK4 SDCLK5 SDCLK6 SDCLK7 CN2 2 4 6 8 1 3 5 7

16 + C24 10uF C25 0.1uF C30 0.01uF 17

VDDA

18 19 26 27 1

NC-10P_8P4C

GNDA FB_OUT 10pF C16 C31

C
FWDSDCLKO FB_OUT 6 7 INPUT FB_IN GND GND GND GND GND GND

FB_OUT

22

R36

22

FB_OUT FWDSDCLKO NC-10P

C

FB_OUT=SDRCLK+FWDSDCLKO-AVGMA-1.9" SDCLKI=SDRCLK+AVGMA+1.5"

2 8 12 21 24 28

CBVDD3

B

VCC3 L1 CBVDD3 FB1206-300 C5 0.1uF C10 0.01uF C11 0.1uF

B

+ C4 22uF

C13 0.01uF

+ C6 22uF

C22 0.1uF

C39 0.1uF

A
JET WAY INFORMATION
Title

A

CLOCK BUFFER (SDR)
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 15 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8
6 6 SBA[0..7] ST[0..2] 6 6 6 6 ACBE-[0..3] AAD[0..31] ADSTB[0..1] ADSTB-[0..1] SBA[0..7] ST[0..2]

7

6
VCC5 VCC3_DUAL

5

4
+12V VDDQ

3

2

1
VDDQ

NOTE: This page is for universal PCB design( suitable for both 645 or 650)

ACBE-[0..3] AAD[0..31] ADSTB[0..1]

+12V VCC3

AFRAMEATRDYAIRDYADEVSELR244 1K ASTOPASERRAPERRRBFTYPEDET- 35 AGP8XDET 6 INT-A 8,10,21,22 PCIRST- 8,10,21,22,23,27,29 AGNT- 6 PIPEADSTB0 ADSTB1 SBSTB AREQWBFPIPEWBF6 6 APAR

R256 R260 R255 R261 R262 R264 R263 R248 R247 R266 R253 R251 R245 R249 R265

8.2K 8.2K 8.2K 8.2K 8.2K 8.2K 8.2K 8.2K 8.2K 8.2K 8.2K 8.2K 8.2K 8.2K 8.2K

ADSTB-[0..1]

VDDQ

VCC3 AGP

D
B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 B11 B12 B13 B14 B15 B16 B17 B18 B19 B20 B21 B22 B23 B24 B25 B26 B27 B28 B29 B30 B31 B32 B33 B34 B35 B36 B37 B38 B39 B40 B41 B42 B43 B44 B45 B46 B47 B48 B49 B50 B51 B52 B53 B54 B55 B56 B57 B58 B59 B60 B61 B62 B63 B64 B65 B66

D

10,21,22 INT-B 14 AGPCLK1 6 AREQ-

INT-B AGPCLK1 AREQST0 ST2 RBFREFCLK2 SBA0 SBA2 SBSTB SBA4 SBA6 ADBIL

6 14

RBFREFCLK2

6

SBSTB

6

ADBIL

C

AAD31 AAD29 AAD27 AAD25 ADSTB1 AAD23 AAD21 AAD19 AAD17 ACBE-2 6 8 6 AIRDYAIRDYCSYNC ADEVSELAPERR6 ASERRASERRACBE-1 AAD14 AAD12

CSYNC ADEVSEL-

B

AAD10 AAD8 ADSTB0 AAD7 AAD5 AAD3 VDDQ AAD1 AVREFCG C303

OVRCNT# 5V 5V USB+ GND INTB# CLK REQ# VCC3.3 ST0 ST2 RBF# GND RSVD(REFCLK) SBA0 VCC3.3 SBA2 SB_STB GND SBA4 SBA6 RSVD(DBIL) GND 3.3VAUX VCC3.3 AD31 AD29 VCC3.3 AD27 AD25 GND AD_STB1 AD23 VDDQ AD21 AD19 GND AD17 C/BE#2 VDDQ IRDY# 3.3VAUX GND RSVD(CSYNC) VCC3.3 DEVSEL# VDDQ PERR# GND SERR# C/BE1# VDDQ AD14 AD12 GND AD10 AD8 VDDQ AD_STB0 AD7 GND AD5 AD3 VDDQ AD1 VREFCG

12V TYPEDET# RSVD(GC_AGP8XDE USBGND INTA# RST# GNT# VCC3.3 ST1 RSVD(MB_AGP8XDE PIPE# GND WBF# SBA1 VCC3.3 SBA3 SB_STB# GND SBA5 SBA7 RSVD(DBIH) GND RSVD(VBDET#) VCC3.3 AD30 AD28 VCC3.3 AD26 AD24 GND AD_STB1# C/BE3# VDDQ AD22 AD20 GND AD18 AD16 VDDQ FRAME# RSVD(RSYNC) GND RSVD(LSYNC) VCC3.3 TRDY# STOP# PME# GND PAR AD15 VDDQ AD13 AD11 GND AD9 C/BE0# VDDQ AD_STB0# AD6 GND AD4 AD2 VDDQ AD0 VREFGC

A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 A20 A21 A22 A23 A24 A25 A26 A27 A28 A29 A30 A31 A32 A33 A34 A35 A36 A37 A38 A39 A40 A41 A42 A43 A44 A45 A46 A47 A48 A49 A50 A51 A52 A53 A54 A55 A56 A57 A58 A59 A60 A61 A62 A63 A64 A65 A66

TYPEDETAGP8XDET

INT-A PCIRSTAGNTR246 ST1 NC PIPEWBFSBA1 SBA3 SBSTBSBA5 SBA7 ADBIH VBDETR252 AAD30 AAD28 AAD26 AAD24 ADSTB-1 ACBE-3 AAD22 AAD20 AAD18 AAD16 AFRAMERSYNC LSYNC ATRDYASTOPPMEAPAR AAD15 AAD13 AAD11

RN77 SBSTB6 SBA1 SBA0 SBA3 SBA2 2 4 6 8 NC-8.2K_8P4R RN78 2 4 6 8 NC-8.2K_8P4R SBSTBADSTB-0 ADSTB-1 R250 R267 R254 8.2K 8.2K 8.2K 1 3 5 7

ADBIH 1K

6 VBDET+12V 35 SBA5 SBA4 SBA6 SBA7

1 3 5 7

C

AFRAME- 6 RSYNC 8 LSYNC 8

ATRDY- 6 ASTOP- 6 PME- 11,21,22,29 APAR 6

VDDQ AAD9 ACBE-0 ADSTB-0 AAD6 AAD4 AAD2 AAD0 R268 200_1% VDDQ C326 TYPEDETQ20 2N7002 R269 301_1%

B

UAGP_CON 470pF AGP CONNECTOR DECOUPLING R233 56 R234 432_1% VCC5 C320 R237 56 R238 432_1% VCC3_DUAL 0.01uF C327 0.01uF C329 0.01uF 470pF C319 C323 0.01uF C325 0.01uF 0.01uF 0.01uF 0.01uF 0.01uF Size B Date: 0.01uF C321 0.01uF C332 Title VCC3 C324 0.01uF C322 VCC3 C300 0.01uF 0.01uF C254 0.01uF C331 C328 0.01uF C330

AVREFGC

AVREFGC

6

C333 0.1uF

A

C307

+12V

A
JET WAY INFORMATION
AGP SLOT/ VB
Document Number

645EM
Thursday, January 03, 2002 Sheet 16 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8

7

6

5

4

3

2

1

D

VGA CONNECTOR
NOTE: This page is for universal PCB design( suitable for both 645 or 650)

D

VCC1.8V D15 NC-BAV99 1 2

3 BAV99 1 2 1

3

2

VCC5

VCC1.8V D16 NC-BAV99 1 2 1 D14 NC-BAV99

3

BAV99/BAT54S
VCC1.8V F2 NC-FUSE_SMD 2 1 D12 NC-BAV99 2 VCC5 D13 NC-BAV99 1 2 R145 R156 VCC5 VCC5

CONNECTOR TOP VIEW

C
8 8 8 ROUT GOUT BOUT ROUT GOUT BPUT

C
VGADDC1DATA VGADDC1DATA HSYNC VSYNC DDC1CLK DDC1CLK 8 8 8 8

3

3

3

19ohm@100MHz? L30 1 L28 1 L25 1 R170 R166 R162 C239 NC-75 C227 C218 NC-10pF NC-10pF NC-10pF NC-10pF GND_VGA GND_VGA GND_VGA GND_VGA GND_VGA NC-10pF NC-10pF NC-100nH 2 NC-100nH 2 NC-100nH 2 C238 C226 C217 VGA 1 3 5 7 9 11 13 15 2 4 6 8 10 12 14 NC-2.2K NC-2.2K

NC-HEADER2X8-16

NC-75

NC-75

L33 3 NC-L1206-31 1 GND_VGA 3 VCC5 VCC5

2

1

3

2

B

D10 NC-BAV99

D11 NC-BAV99

B

A
JET WAY INFORMATION
Title

A

VGA Connector
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 17 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8
7 RN103 7 0_8P4R MA1 MA0 MA2 MA3 1 3 5 7 RN104 0_8P4R MA[0..14] DQM[0..7] 2 4 6 8

NOTE:This page is for pure SDR SDRAM PCB design 7 MA[0..14] DQM[0..7]

7

6
MD[0..63] MD[0..63]

5

4

3

2

1

/MA1 /MA0 /MA2 /MA3

VCC3_MEM

VCC3_MEM

6 18 26 40 41 49 59 73 84 90 102 110 124 133 143 157 168

DIMM1

VCC3_MEM R474 2.2K

6 18 26 40 41 49 59 73 84 90 102 110 124 133 143 157 168

VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3

RN105 0_8P4R MA8 MA9 MA10 MA12 1 3 5 7 RN106 0_8P4R MA11 MA13 MA14 1 3 5 7 2 4 6 8 /MA11 /MA13 /MA14 2 4 6 8 /MA8 /MA9 /MA10 /MA12

/MA0 /MA1 /MA2 /MA3 /MA4 /MA5 /MA6 /MA7 /MA8 /MA9 /MA10 /MA13 /MA14 R475 0 /MA11 /MA12

VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3 VCC3

D

MA4 MA5 MA6 MA7

1 3 5 7

2 4 6 8

/MA4 /MA5 /MA6 /MA7 33 117 34 118 35 119 36 120 37 121 38 123 126 122 39 132

DIMM2

D
WP/NC DQ[0] DQ[1] DQ[2] DQ[3] DQ[4] DQ[5] DQ[6] DQ[7] NC DQ[8] DQ[9] DQ[10] DQ[11] DQ[12] DQ[13] DQ[14] DQ[15] NC DQ[16] DQ[17] DQ[18] DQ[19] DQ[20] DQ[21] DQ[22] DQ[23] NC DQ[24] DQ[25] DQ[26] DQ[27] DQ[28] DQ[29] DQ[30] DQ[31] NC DQ[32] DQ[33] DQ[34] DQ[35] DQ[36] DQ[37] DQ[38] DQ[39] NC DQ[40] DQ[41] DQ[42] DQ[43] DQ[44] DQ[45] DQ[46] DQ[47] NC DQ[48] DQ[49] DQ[50] DQ[51] DQ[52] DQ[53] DQ[54] DQ[55] NC DQ[56] DQ[57] DQ[58] DQ[59] DQ[60] DQ[61] DQ[62] DQ[63] 81 2 3 4 5 7 8 9 10 164 11 13 14 15 16 17 19 20 51 55 56 57 58 60 65 66 67 146 69 70 71 72 74 75 76 77 145 86 87 88 89 91 92 93 94 135 95 97 98 99 100 101 103 104 134 139 140 141 142 144 149 150 151 109 153 154 155 156 158 159 160 161 WP MD4
MD0

A[0] A[1] A[2] A[3] A[4] A[5] A[6] A[7] A[8] A[9] A[10]/AP A[11] A[12] BA[0] BA[1] A[13]

DQM0 DQM1 DQM3 DQM2

RN107 1 3 5 7 10_8P4R RN108 1 3 5 7 10_8P4R RN7 2 4 6 8 10_8P4R 7 7 2 4 6 8 2 4 6 8

/DQM0 /DQM1 /DQM3 /DQM2

DQM4 DQM5 DQM6 DQM7

/DQM4 /DQM5 /DQM6 /DQM7

/DQM0 /DQM2 /DQM4 /DQM6 /DQM1 /DQM3 /DQM5 /DQM7

28 29 46 47 112 113 130 131 21 22 52 53 105 106 136 137

DQM[0] DQM[1] DQM[2] DQM[3] DQM[4] DQM[5] DQM[6] DQM[7] CB[0] CB[1] CB[2] CB[3] CB[4] CB[5] CB[6] CB[7] SRAS# SCAS# S#[0] S#[1] S#[2] S#[3] WE0# WE2# CK[0] CK[1] CK[2] CK[3] CKE[0] CKE[1] SCL SDA SA[0] SA[1] SA[2]

C

7 7 7 7

DQS1 DQS3 DQS0 DQS2

1 3 5 7

CSB-1 CSB-3 CSB-0 CSB-2 SRASSCASR463 R464 10 10 /RAS/CASCSA-0 CSA-1 CSB-0 CSB-1 7 SWER465 10 /WE-

115 111 30 114 45 129 27 48 42 125 79 163 128 63 83 82 165 166 167

RN6 7 7 CS-0 CS-1 7 CS-3 7 CS-2 1 3 5 7 10_8P4R 2 4 6 8

CSA-0 CSA-1 CSA-3 CSA-2

SDCLK0 SDCLK1 SDCLK2 SDCLK3 CKE0 CKE1 SMBCLK SMBDAT

11,14,26,36 11,14,26,36

SMBCLK SMBDAT

addr = 1010000b

147 24 25 50 31 44

VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS

61 62 80 108

1 12 23 32 43 54 64 68 78 85 96 107 116 127 138 148 152 162

61 62 80 108

SDCLK[0..7] CKE[0..3]

SDCLK[0..7]

15

7,19

CKE[0..3]

A

1 12 23 32 43 54 64 68 78 85 96 107 116 127 138 148 152 162

SDRAM

VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS

NC NC NC NC

NC NC NC NC

B

REOE/NC NC NC NC NC/OE#0 NC/OE#2

WP/NC DQ[0] DQ[1] DQ[2] DQ[3] DQ[4] DQ[5] DQ[6] DQ[7] NC DQ[8] DQ[9] DQ[10] DQ[11] DQ[12] DQ[13] DQ[14] DQ[15] NC DQ[16] DQ[17] DQ[18] DQ[19] DQ[20] DQ[21] DQ[22] DQ[23] NC DQ[24] DQ[25] DQ[26] DQ[27] DQ[28] DQ[29] DQ[30] DQ[31] NC DQ[32] DQ[33] DQ[34] DQ[35] DQ[36] DQ[37] DQ[38] DQ[39] NC DQ[40] DQ[41] DQ[42] DQ[43] DQ[44] DQ[45] DQ[46] DQ[47] NC DQ[48] DQ[49] DQ[50] DQ[51] DQ[52] DQ[53] DQ[54] DQ[55] NC DQ[56] DQ[57] DQ[58] DQ[59] DQ[60] DQ[61] DQ[62] DQ[63]

81 2 3 4 5 7 8 9 10 164 11 13 14 15 16 17 19 20 51 55 56 57 58 60 65 66 67 146 69 70 71 72 74 75 76 77 145 86 87 88 89 91 92 93 94 135 95 97 98 99 100 101 103 104 134 139 140 141 142 144 149 150 151 109 153 154 155 156 158 159 160 161

WP MD4
MD0

MD5 MD1 MD2 MD7 MD6 MD3 MD20 MD16 MD17 MD18 MD21 MD22 MD23 MD19 MD37 MD33 MD36 MD32 MD34 MD38 MD39 MD35 MD48 MD52 MD49 MD53 MD54 MD55 MD50 MD51 MD8 MD9 MD12 MD13 MD14 MD15 MD10 MD11 MD24 MD28 MD25 MD26 MD29 MD30 MD27 MD31 MD40 MD44 MD45 MD41 MD46 MD42 MD43 MD47 MD60 MD61 MD56 MD57 MD62 MD59 MD58 MD63

/MA0 /MA1 /MA2 /MA3 /MA4 /MA5 /MA6 /MA7 /MA8 /MA9 /MA10 /MA13 /MA14 R476 0 /MA11 /MA12

33 117 34 118 35 119 36 120 37 121 38 123 126 122 39 132

A[0] A[1] A[2] A[3] A[4] A[5] A[6] A[7] A[8] A[9] A[10]/AP A[11] A[12] BA[0] BA[1] A[13]

MD5 MD1 MD2 MD7 MD6 MD3 MD20 MD16 MD17 MD18 MD21 MD22 MD23 MD19 MD37 MD33 MD36 MD32 MD34 MD38 MD39 MD35 MD48 MD52 MD49 MD53 MD54 MD55 MD50 MD51 MD8 MD9 MD12 MD13 MD14 MD15 MD10 MD11 MD24 MD28 MD25 MD26 MD29 MD30 MD27 MD31 MD40 MD44 MD45 MD41 MD46 MD42 MD43 MD47 MD60 MD61 MD56 MD57 MD62 MD59 MD58 MD63

/DQM0 /DQM2 /DQM4 /DQM6 /DQM1 /DQM3 /DQM5 /DQM7

28 29 46 47 112 113 130 131 21 22 52 53 105 106 136 137

DQM[0] DQM[1] DQM[2] DQM[3] DQM[4] DQM[5] DQM[6] DQM[7] CB[0] CB[1] CB[2] CB[3] CB[4] CB[5] CB[6] CB[7] SRAS# SCAS# S#[0] S#[1] S#[2] S#[3] WE0# WE2# CK[0] CK[1] CK[2] CK[3] CKE[0] CKE[1] SCL SDA SA[0] SA[1] SA[2]

C

/RAS/CASCSA-2 CSA-3 CSB-2 CSB-3 /WEVCC3_MEM SDCLK4 SDCLK5 SDCLK6 SDCLK7 CKE2 CKE3 SMBCLK SMBDAT

115 111 30 114 45 129 27 48 42 125 79 163 128 63 83 82 165 166 167

R477 8.2K

addr = 1010001b

147 24 25 50 31 44

REOE/NC NC NC NC NC/OE#0 NC/OE#2

B
SDRAM

A

JET WAY INFORMATION
Title

SDRAM DIMM1 & DIMM2
Size C Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 18 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8

7

6

5

4

3

2

1

7,18

CKE[0..3]

CKE[0..3] VCC3_MEM CKE2 CKE0 CKE3 CKE1 R12 R21 R22 R23 470 470 470 470

D

D

C

C

DIMM DECOUPLING

VCC3_MEM

C252

C230

C296

0.1uF

0.1uF C189

0.1uF C176

B

C197

B

0.1uF C169

0.1uF C152

0.1uF C145

0.1uF C140

0.1uF C129

0.1uF C113

0.1uF C89

0.1uF C77

0.1uF C61

0.1uF C54

0.1uF

0.1uF C142

0.1uF

0.1uF

A
JET WAY INFORMATION
Title

A

SDR -BY-PASS CAP.
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 19 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8

7

6

5

4

3

2

1

D

D

C

C

B

B

A
JET WAY INFORMATION
Title

A

RESERVED
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 20 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8
C/BE-[0..3] AD[0..31]

7

VCC3

6
C404 1000UF

5

4
PCI Slot 1 & 2

3

VCC3_DUAL

2

+12V

-12V

1
C402 10UF

10,22 C/BE-[0..3] 10,22 AD[0..31] 8,10,16,22,23,27,29

PCIRSTVCC5 VCC5 VCC5 VCC5

D
VCC3

AD:20
-12V PCI1 TRSTTMS TDI INT-B INT-D VCC3_DUAL PRSNT-22

AD:22
+12V VCC3 VCC3 PCI2 TRSTTMS TDI INT-C INT-A VCC3_DUAL -12V

B,C,D,A

C,D,A,B

+12V VCC3

22

TCK

TCK

10,22 INT-C 8,10,16,22 INT-A

INT-C INT-A PRSNT-11 PRSNT-12

14 10

PCICLK1 PREQ-0

PCICLK1 PREQ-0 AD31 AD29

C

AD27 AD25 C/BE-3 AD23 AD21 AD19 AD17 C/BE-2 10,22 10,22 IRDYDEVSELIRDYDEVSELPLOCKPERRSERRC/BE-1 AD14 AD12 AD10

10,22 PLOCK22 PERR10,22 SERR-

B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 B11 B12 B13 B14 B15 B16 B17 B18 B19 B20 B21 B22 B23 B24 B25 B26 B27 B28 B29 B30 B31 B32 B33 B34 B35 B36 B37 B38 B39 B40 B41 B42 B43 B44 B45 B46 B47 B48 B49 B52 B53 B54 B55 B56 B57 B58 B59 B60 B61 B62

-12V TCK GROUND TDO +5V +5V INT#[B] INT#[D] PRSNT#[1] RESERVED PRSNT#[2] GROUND GROUND RESERVED GROUND CLK GROUND REQ# +5V AD[31] AD[29] GROUND AD[27] AD[25] +3.3V C/BE#[3] AD[23] GROUND AD[21] AD[19] +3.3V AD[17] C/BE#[2] GROUND IRDY# +3.3V DEVSEL# GROUND LOCK# PERR# +3.3V SERR# +3.3V C/BE#[1] AD[14] GROUND AD[12] AD[10] GROUND AD[8] AD[7] +3.3V AD[5] AD[3] GROUND AD[1] +5V(I/O) ACK64# +5V +5V

TRST# +12V TMS TDI +5V INT#[A] INT#[C] +5V RESERVED +5V(I/O) RESERVED GROUND GROUND 3.3V_AUX RST# +5V(I/O) GNT# GROUND PME# AD[30] +3.3V AD[28] AD[26] GROUND AD[24] IDSEL +3.3V AD[22] AD[20] GROUND AD[18] AD[16] +3.3V FRAME# GROUND TRDY# GROUND STOP# +3.3V RESERVED RESERVED GROUND PAR AD[15] +3.3V AD[13] AD[11] GROUND AD[9] C/BE#[0] +3.3V AD[6] AD[4] GROUND AD[2] AD[0] +5V(I/O) REQ64# +5V +5V

A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 A20 A21 A22 A23 A24 A25 A26 A27 A28 A29 A30 A31 A32 A33 A34 A35 A36 A37 A38 A39 A40 A41 A42 A43 A44 A45 A46 A47 A48 A49 A52 A53 A54 A55 A56 A57 A58 A59 A60 A61 A62

TRST

22

TCK

TMS 22 TDI 22 INT-B INT-D 10,16,22 10,22 INT-D INT-B PRSNT-21

PCIRSTPGNT-0 PMEAD30 AD28 AD26 AD24 R332 AD22 AD20 AD18 AD16 FRAMETRDYSTOPSDONE1 SBO-1 PAR AD15 AD13 AD11 AD9 C/BE-0 AD6 AD4 AD2 AD0 PREQ64-1 AD8 AD7 AD5 AD3 AD1 PACK64-2 PAR 10,22 FRAMETRDYSTOP10,22 10,22 10,22 AD20 14 PGNT-0 PME10 10 11,16,22,29 PREQ-1 PCICLK2 PCICLK2 PREQ-1 AD31 AD29 AD27 AD25 100 C/BE-3 AD23 AD21 AD19 AD17 C/BE-2 IRDYDEVSELPLOCKPERRSERRC/BE-1 AD14 AD12 AD10

B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 B11 B12 B13 B14 B15 B16 B17 B18 B19 B20 B21 B22 B23 B24 B25 B26 B27 B28 B29 B30 B31 B32 B33 B34 B35 B36 B37 B38 B39 B40 B41 B42 B43 B44 B45 B46 B47 B48 B49 B52 B53 B54 B55 B56 B57 B58 B59 B60 B61 B62

-12V TCK GROUND TDO +5V +5V INT#[B] INT#[D] PRSNT#[1] RESERVED PRSNT#[2] GROUND GROUND RESERVED GROUND CLK GROUND REQ# +5V AD[31] AD[29] GROUND AD[27] AD[25] +3.3V C/BE#[3] AD[23] GROUND AD[21] AD[19] +3.3V AD[17] C/BE#[2] GROUND IRDY# +3.3V DEVSEL# GROUND LOCK# PERR# +3.3V SERR# +3.3V C/BE#[1] AD[14] GROUND AD[12] AD[10] GROUND AD[8] AD[7] +3.3V AD[5] AD[3] GROUND AD[1] +5V(I/O) ACK64# +5V +5V

TRST# +12V TMS TDI +5V INT#[A] INT#[C] +5V RESERVED +5V(I/O) RESERVED GROUND GROUND 3.3V_AUX RST# +5V(I/O) GNT# GROUND PME# AD[30] +3.3V AD[28] AD[26] GROUND AD[24] IDSEL +3.3V AD[22] AD[20] GROUND AD[18] AD[16] +3.3V FRAME# GROUND TRDY# GROUND STOP# +3.3V RESERVED RESERVED GROUND PAR AD[15] +3.3V AD[13] AD[11] GROUND AD[9] C/BE#[0] +3.3V AD[6] AD[4] GROUND AD[2] AD[0] +5V(I/O) REQ64# +5V +5V

A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 A20 A21 A22 A23 A24 A25 A26 A27 A28 A29 A30 A31 A32 A33 A34 A35 A36 A37 A38 A39 A40 A41 A42 A43 A44 A45 A46 A47 A48 A49 A52 A53 A54 A55 A56 A57 A58 A59 A60 A61 A62

PCIRSTPGNT-1 PMEAD30 AD28 AD26 AD24 R348 AD22 AD20 AD18 AD16 FRAMETRDYSTOPSDONE2 SBO-2 PAR AD15 AD13 AD11 AD9 C/BE-0 AD6 AD4 AD2 AD0 PREQ64-2 PGNT-1 10

B

AD8 AD7 AD5 AD3 AD1 PACK64-1

PCI_CON_2.2 VCC3 VCC5 VCC3

PCI_CON_2.2 VCC5

C396 0.1uF

C411 0.1uF

C394 0.1uF

C395 0.1uF

C397 0.1uF

C390 0.1uF

C406 0.1uF

C413 0.1uF

C409 0.1uF

C412 0.1uF

C410 0.1uF

C438 0.1uF

C436 0.1uF

C439 0.1uF

C437 0.1uF

VCC5 RN97 RN96 1 3 5 7 4.7K_8P4R RN88 SDONE2 SBO-2 SDONE1 SBO-1 1 3 5 7 2 4 6 8 R_OPENX4 PREQ64-2 PACK64-2 PACK64-1 PREQ64-1 1 3 5 7 FRAMEIRDYTRDYDEVSEL2 4 6 8 1 3 5 7 2.7K_8P4R RN89 2 4 6 8 2.7K_8P4R STOPPLOCKPERRSERR2 4 6 8

VCC5 PREQ-0 PREQ-1 R331 R394 4.7K 4.7K

VCC5

A

VCC5 RN94 TDI TMS TCK TRST8 6 4 2 7 5 3 1 4.7K_8P4R

PRSNT-11 PRSNT-12 PRSNT-21 PRSNT-22 C408 0.1uF C407 0.1uF C392 0.1uF C391 0.1uF Title

JET WAY INFORMATION
PCI Slot 1 & 2
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 21 of 38

8

7

6

5

4

3

2

+

+

+

C393 10UF

+

C403 10UF

D

C
100 AD22

B

A

Rev 0.1

1

8
C/BE-[0..3] AD[0..31]

7

6 VCC5
+ C442 1000UF

5
PCI Slot 3

4

3

2

1

10,21 C/BE-[0..3] 10,21 AD[0..31] 8,10,16,21,23,27,29

PCIRSTVCC5 VCC5

D
VCC3

-12V PCI3

AD:24 D,A,B,C

+12V VCC3

D
TRSTTMS TDI INT-D INT-B VCC3_DUAL

21

TCK

TCK

8,10,16,21 10,21

INT-A INT-C

INT-A INT-C PRSNT-31 PRSNT-32

14 10

PCICLK3 PREQ-2

PCICLK3 PREQ-2 AD31 AD29

C

AD27 AD25 C/BE-3 AD23 AD21 AD19 AD17 C/BE-2 10,21 10,21 IRDYDEVSELIRDYDEVSELPLOCKPERRSERRC/BE-1 AD14 AD12 AD10

10,21 PLOCK21 PERR10,21 SERR-

B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 B11 B12 B13 B14 B15 B16 B17 B18 B19 B20 B21 B22 B23 B24 B25 B26 B27 B28 B29 B30 B31 B32 B33 B34 B35 B36 B37 B38 B39 B40 B41 B42 B43 B44 B45 B46 B47 B48 B49 B52 B53 B54 B55 B56 B57 B58 B59 B60 B61 B62

-12V TCK GROUND TDO +5V +5V INT#[B] INT#[D] PRSNT#[1] RESERVED PRSNT#[2] GROUND GROUND RESERVED GROUND CLK GROUND REQ# +5V AD[31] AD[29] GROUND AD[27] AD[25] +3.3V C/BE#[3] AD[23] GROUND AD[21] AD[19] +3.3V AD[17] C/BE#[2] GROUND IRDY# +3.3V DEVSEL# GROUND LOCK# PERR# +3.3V SERR# +3.3V C/BE#[1] AD[14] GROUND AD[12] AD[10] GROUND AD[8] AD[7] +3.3V AD[5] AD[3] GROUND AD[1] +5V(I/O) ACK64# +5V +5V

TRST# +12V TMS TDI +5V INT#[A] INT#[C] +5V RESERVED +5V(I/O) RESERVED GROUND GROUND 3.3V_AUX RST# +5V(I/O) GNT# GROUND PME# AD[30] +3.3V AD[28] AD[26] GROUND AD[24] IDSEL +3.3V AD[22] AD[20] GROUND AD[18] AD[16] +3.3V FRAME# GROUND TRDY# GROUND STOP# +3.3V RESERVED RESERVED GROUND PAR AD[15] +3.3V AD[13] AD[11] GROUND AD[9] C/BE#[0] +3.3V AD[6] AD[4] GROUND AD[2] AD[0] +5V(I/O) REQ64# +5V +5V

A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 A20 A21 A22 A23 A24 A25 A26 A27 A28 A29 A30 A31 A32 A33 A34 A35 A36 A37 A38 A39 A40 A41 A42 A43 A44 A45 A46 A47 A48 A49 A52 A53 A54 A55 A56 A57 A58 A59 A60 A61 A62

TRST

21

TMS 21 TDI 21 INT-D INT-B 10,21 10,16,21

PCIRSTPGNT-2 PMEAD30 AD28 AD26 AD24 R398 AD22 AD20 AD18 AD16 FRAMETRDYSTOPSDONE3 SBO-3 PAR AD15 AD13 AD11 AD9 C/BE-0 AD6 AD4 AD2 AD0 PREQ64-3 PAR 10,21 FRAMETRDYSTOP10,21 10,21 10,21 PGNT-2 PME10 11,16,21,29

C
100 AD24

B

AD8 AD7 AD5 AD3 AD1 PACK64-3

B

PCI_CON_2.2 VCC3 VCC5

C451 0.1uF

C448 0.1uF

C449 0.1uF

C450 0.1uF

C447 0.1uF

C444 0.1uF

C465 0.1uF

A
SDONE3 SBO-3 PACK64-3 PREQ64-3 R399 R400 R401 R402 NC-2.7K NC-2.7K 2.7K 2.7K

VCC3 VCC5 RN98 10 10 11 PREQ-3 PREQ-4 PREQ-5 PREQ-2 PREQ-3 PREQ-4 PREQ-5 2 4 6 8 4.7K_8P4R 1 3 5 7 10 10 11 PGNT-3 PGNT-4 PGNT-5 VCC5 PGNT-3 PGNT-4 PGNT-5 RN93 2 4 6 8 1 3 5 7 PRSNT-31 PRSNT-32

A
JET WAY INFORMATION
C446 0.1uF C445 0.1uF Size B Date: Document Number Title

NC-4.7K_8P4R

PCI Slot 3 645EM
Thursday, January 03, 2002 Sheet 22 of 38 Rev 0.1

8

7

6

5

4

3

2

1

8

7
10 IDEDA[0..15] IDEDA[0..15]

6

5

4

3

2

1

IDERSTR352 IDEDA7 10K 10_8P4R 2 4 6 8 RN90 8 6 4 2 RN86 1IDA3 1IDA12 1IDA2 1IDA13 1IDA9 1IDA6 1IDA8 1IDA7 IDE1 1IDA7 1IDA6 1IDA5 1IDA4 1IDA3 1IDA2 1IDA1 1IDA0 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 1IDA8 1IDA9 1IDA10 1IDA11 1IDA12 1IDA13 1IDA14 1IDA15 1IDA11 1IDA4 1IDA10 1IDA5 2 4 6 8 RN87 10_8P4R 1IDA1 1IDA14 1IDA0 1IDA15 8 6 4 2 RN85 7 5 3 1 IDEDA1 IDEDA14 IDEDA0 IDEDA15 1 3 5 7 IDEDA11 IDEDA4 IDEDA10 IDEDA5

D
VCC3

IDEDA9 IDEDA6 IDEDA8 IDEDA7

1 3 5 7 10_8P4R

D

R317 4.7K

IDEDA3 IDEDA12 IDEDA2 IDEDA13

7 5 3 1 10_8P4R

10 10 10 10 10 10

IDEREQA IDEIOW-A IDEIOR-A ICHRDYA IDACK-A IDEIRQA

R315 R326 R309 R316 R327 R312 R311 10K

82 22 10 10 22 82 R318 5.6K

MIDEREQA MIDEIOW-A MIDEIOR-A MICHRDYA MIDACK-A MIDEIRQA

IDESAA1 IDESAA0 IDECS-A0

R307 R310 R303

33 MIDESAA1 33 MIDESAA0 33 MIDECS-A0

CBLIDA MIDESAA2 MIDECS-A1 R306 R304 33 33 IDESAA2 IDECS-A1

CBLIDA

10

HEADER 20X2

D20

C

33

HDDLED 10 10 IDESAA[0..2] IDECS-A[0..1]

HDDLED 1N4148 IDESAA[0..2] IDECS-A[0..1]

C

VCC3

VCC5 10 R338 4.7K R337 4.7K IDEDB[0..15] IDEDB[0..15]

IDERSTR271 IDEDB7 Q28 MMBT3904 R354 8,10,16,21,22,27,29 PCIRST4.7K VCC3 Q27 MMBT3904 IDEDB9 IDEDB6 IDEDB8 IDEDB7 1 3 5 7 10_8P4R 2 4 6 8 RN71 8 6 4 2 RN70 /2IDB11 /2IDB12 /2IDB2 /2IDB13 /2IDB9 /2IDB6 /2IDB8 /2IDB7 IDE2 /2IDB7 /2IDB6 /2IDB5 /2IDB4 /2IDB3 /2IDB2 /2IDB1 /2IDB0 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 /2IDB8 /2IDB9 /2IDB10 /2IDB11 /2IDB12 /2IDB13 /2IDB14 /2IDB15 10K /2IDB3 /2IDB4 /2IDB10 /2IDB5 RN75 2 4 6 8 10_8P4R 10_8P4R /2IDB15 /2IDB0 /2IDB14 /2IDB1 2 4 6 8 RN74 1 3 5 7 IDEDB15 IDEDB0 IDEDB14 IDEDB1 1 3 5 7 IDEDB3 IDEDB4 IDEDB10 IDEDB5

B
10 10 10 10 10 10 IDEREQB IDEIOW-B IDEIOR-B ICHRDYB IDACK-B IDEIRQB IDEREQB IDEIOW-B IDEIOR-B ICHRDYB IDACK-B IDEIRQB R228 R227 R221 R226 R219 R218 R225 10K 82 22 10 10 22 82

R220 4.7K

IDEDB11 IDEDB12 IDEDB2 IDEDB13

7 5 3 1 10_8P4R

B

MIDEREQB MIDEIOW-B MIDEIOR-B MICHRDYB MIDACK-B MIDEIRQB R222 5.6K

IDESAB1 IDESAB0 IDECS-B0

R243 R242 R241

33 MIDESAB1 33 MIDESAB0 33 MIDECS-B0

CBLIDB MIDESAB2 MIDECS-B1 R277 R278 33 33 IDESAB2 IDECS-B1

CBLIDB

10

HEADER 20X2

D21 HDDLED 1N4148 10 10 IDESAB[0..2] IDECS-B[0..1] IDESAB[0..2] IDECS-B[0..1]

A
JET WAY INFORMATION
Title

A

IDE CONNECTORS
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 23 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8

7

6
VCC5 1 3

5
SB5V JP10 2 F1

4
VCC5

3
SB5V 3

2
JP1

1

USB

1 2 R43 C40 1000p R42 560K 220K

FS1 MINI-SMDC110 R10 10K R13 10K FUSE_SMD 12 KB/MS 1 2 3 4 5 6 C1 0.1uF 13 14 15 H4 1 2 3 4 H5 CN4A L11 L10 31-0603 LUSBDT031-0603 LUSBDT0+ C32 10u/16V C26 .1u H3 5 6 7 8 H6 L9 L8 2 4 6 8 31-0603 31-0603 LUSBDT0LUSBDT0+ CN3 47P_8P4C 1 3 5 7 OC0-

D
11 KBDAT KBDAT L3 68 XKBDAT KBCLK L2 69 XKBCLK

L7 FB1206-31

C34 1U

D

11

KBCLK

CB2 50pF

CB1 50pF

12 12

UV0UV0+

UV3- 12 UV3+ 12

USB/RJ45/LED_PORT_8/8/4

R24 10K

R29 10K

VCC5_DUAL VCC5 1

JP2 FS2 2 V_USB 1.1A/90V L55 C477 31-1206 104P USB1 CN2X5-9 VJ16

R443 220K C476

102P

OC1-

12

R444 560K R453 4.7K

C

11

PMDAT

PMDAT

L4

68

XPMDAT

11

PMCLK

PMCLK

L6

68

XPMCLK

7 8 9 10 11 12 PS/2_KB/MS

16

3 1-2 (defaul)

VCC5

C
UV4- 12 UV4+ 12

17 12 12 UV1UV1+

L54 L53

0 0

LUSBDT1LUSBDT1+

C466 220U

+

2 4 6 8 R432 220K C469 1 3 5 7

R25 200K

R28 200K

CB4 50pF

CB3 50pF

C7 0.1uF

1 3 5 7

2 4 6 8 10

L51 0 L52 0 LUSBDT1+ LUSBDT1CN15 47P_8P4C

CONNECTOR VIEW

TOP VIEW VCC5 FS3 L56 C470 31-1206 . . . . 1.1A/90V 104P USB2 CN2X5-9 L47 L48 0 0 LUSBDT2LUSBDT2+ 1 3 5 7 + 2 4 6 8 10 10 8 7 9 6 . 5 .

102P

OC2-

12

R433 560K VJ17 R452 4.7K VCC5

12 . 10 .

11 . 9 .

12 .

11 .

B

8 .

7 .

4 2 1 3 6 . 4 . 2 . 3 . 1 . 5 .

C478 220U

8 6 4 2

. . . .

12 12

UV2UV2+

L49 0 L50 0 LUSBDT2+ LUSBDT2-

UV5- 12 UV5+ 12

B

47P_8P4C CN14

12 12 12

OC3OC4OC5-

OC3OC4OC5OC2-

RN79 2 4 6 8 10K_8P4R 1 3 5 7

NOTE:

A

The SiS961A integrates two USB controllers. One controls USB ports 0,1,2, and the other controls ports 3,4,5. 1.To avoid one of the internal controllers is too busy and the other one is idle. SiS recommands you to arrange the signals to I/O connector properly. Title 2.If you do not want to use some of the USB ports, you must to pull them down with 15K ohms.

7 5 3 1

SB5V

A
JET WAY INFORMATION
USB CONNECTORS
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 24 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8
CD_IN 1 2 3 4 CDIN_CNTR_1X4 R232 R229 0 0

7
CD_L CD_R

6
VCC3 FB5 C401 NC-10u/16V L0603-300 C387 .1u

5
+3.3VCODEC +3.3VCODEC C352 10u/16V C386 10u/16V C357 .1u

4
U15 C380 .1u 1 9 4 7 DVDD1 DVDD2 DVSS1 DVSS2

3
AVDD1 AVDD2 AVSS1 AVSS2 ID1 ID0 EAPD LINE_OUT_L LINE_OUT_R AUX_L AUX_R VIDEO_L VIDEO_R CD_L CD_R CD_GND LINE_IN_L LINE_IN_R PC_BEEP MIC1 MIC2 PHONE_IN XTL_IN ALC201A/ALC650 MONO_OUT LNLVL_OUTL LNLVL_OUTR VREFOUT 25 38 26 42 46 45 47 35 36 37 39 41 28 27 31 32 33 34 40 44 43 48 29 30 3 R455 GPIOMIC NC-0 C356 .1u C383 .1u

2
+5VCODEC FB4 L0603-300 C389 10u/16V +5VAUDIO C345 10u/16V

1

R231 NC-47K

R230 NC-47K GND_CD

CD_IN

FB6 NC-L0603-0 GND_AUD

D
AUDIO_PORTC 21 22 24 25 23 AUDIO/GAME_PORT_15/15 R213 R214 0 0 R272 NC-47K LINE_R LINE_L

11,26 SYNC 11,26 SDATI0 11,12,26 SDATO 11,26 AC_RESET11,26 BIT_CLK

SDATI0

R305 R308

47 22 C370 NC-10P

BIT_CLK

10 8 5 11 6 14 15 16 17

SYNC SDATA_IN SDATA_OUT RESET BIT_CLK

D
LINEOUTL LINEOUTR VREFOUT

R273 NC-47K +5VAUDIO CD_L CD_R GND_CD LINE_L LINE_R R457 NC-2K Q5 NC-NDS7002 D PC_BEEP MIC_IN MIC_IN2 C351 C349 C350 C347 C346 C353 C348 C508 1u 1u 1u 1u 1u NC-1u 1u NC-1u

C366 .1u

C344 NC-47u/10V

C369 .1u

C365 NC-10u/16V GND_AUD

LINE_IN
SPKR R279

GND_AUD D27 NC-IN4148

18 20 19 23 24 12 21 22 13 2

VREF NC NC NC NC NC NC NC NC AFILT1 AFILT2 XTL_OUT

11,12,33

SPKR

NC-1K R274 NC-4.7K

PC_BEEP

C379 1U

C373 1U

+

C388 NC-10U

C371 1U

+

C378 NC-10U

SPEAK_IN

C318 NC-1000p

GND_AUD GND_AUD GND_AUD GND_AUD GND_AUD C367 102P C368 102P

+3.3VCODEC G GPIOMIC

C
R458 L57 AUDIO_PORTD 26 27 29 30 28 AUDIO/GAME_PORT_15/15 R215 2.2K C297 100p C299 10u/16V R216 L58 1K 0 5VMIC NC-2K NC-0 MIC_IN2

R478 VREFOUT

NC-8.2K

R479 NC-10K C2 NC-1U 14 AC97CLK C509 NC-0.01U

R456 NC-10K R459 NC-0 R460 NC-10K

C
1M 2 24.576MHz C372 22p GND_AUD

S

R313 1 X1 C374 22p

+5VAUDIO R217 MIC_IN 1K

MIC_IN
GND_AUD

+5VAUDIO AUDIO CN2X5-8 MIC_IN 5VMIC AUD_FPOUTR AUD_FPOUTL AUD_RETR AUD_RETL C316 NC-470p FB1 FB2 C317 NC-470p 0 ASPKR 16 AUDIO_PORTB 17 19 20 18 AUDIO/GAME_PORT_15/15 FB3 GND_AUD NC-L0603-600 C298 AUD_RETR AUD_RETL 0.1U

LINE_OUT

1 3 5 7 9

2 4 6 10

B

0 ASPKL

GND_AUD

B

5V Supply to Audio Codec (VT1611A) located near the Codec
LINEOUTR LINEOUTL C310 47u/16V C309 47u/16V AUD_FPOUTR AUD_FPOUTL +12V U17 I C385 NC-.1u C400 NC-10u/16V V_IN V_OUT GND O C384 .1u NC-78L05DIP C399 10u/16V L0603-300 +5VAUDIO L45 VCC5

A

78L05

G

A
JET WAY INFORMATION
Title

1 23

1: O:OUTPUT 2: G:GND 3: I:INPUT

AC97 CODEC
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 25 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8

7
VCC3 -12V SB5V

6

5
CNR
CNR B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 B11 B12 B13 B14 B15 B16 B17 B18 B19 B20 B21 B22 B23 B24 B25 B26 B27 B28 B29 B30 MII_MDIO MII_COL MII_TXC GND MII_RXERR MII_TXD3 GND MII_TXD1 MII_TXEN GND MII_RXD2 MII_RXD0 GND RESERVED +5VDUAL USB_OC# GND -12V +3.3VD GND EE_DOUT EE_SHCLK GND SMB_A0 SMB_SCL CDC_DN_ENAB# GND AC97_SYNC AC97_SDTA_OUT AC97_BITCLK CNR MII_MDC MII_CRS GND MII_RXDV MII_RXC GND MII_TXD2 MII_TXD0 GND RESERVED MII_RXD1 MII_RXD3 USB+ GND USB+12V GND +3.3VDUAL +5VD GND EE_DIN EE_CS SMB_A1 SMB_A2 SMB_SDA AC97_RESET# RESERVED AC97_SDATA_IN1 AC97_SDATA_IN0 GND A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 A20 A21 A22 A23 A24 A25 A26 A27 A28 A29 A30

4
+12V SB3V VCC5

3

2

1

D
0.1uF C464

D

VCC3 R417 R419 10K 10K SMBDAT AC_RESETSDATI1 SDATI0 SMBDAT 11,14,18,36 AC_RESET- 11,25 SDATI1 SDATI0 11 11,25

R418 11,14,18,36 SMBCLK SMBCLK SYNC SDATO BIT_CLK Critical Net

10K

11,25 SYNC 11,12,25 SDATO

C

11,25

BIT_CLK

C

B

B

A
JET WAY INFORMATION
Title

A

CNR SLOT
Size B Date: Document Number

645EM
Thursday, January 03, 2002 Sheet 26 of 38

Rev 0.1

8

7

6

5

4

3

2

1

8

7

6

5

4

3
LP3V3 LP3V3

2
L22 1 C45 0.1U CB12 0.1uF CB9 0.1uF CB14 0.1uF CB13 0.1uF CB8 0.1uF

1
VCC3_DUAL 2 FB0603-31

LED Indication TP_RXP USBA1 C42 4.7P R80 56_1% CB7 0.1uF CB6 0.1uF R48 R47 TF1 1 3 2 16 14 15 4 8 RD+ RDC RDTD+ TDC TDNC NC RX+ RXC RXTX+ TXC TXNC NC 7 5 6 10 12 11 9 13 L3 L6 L5 L4 RO+ RONC NC 1K 1K L10 L12 PLED0 PLED1 VCC VCC L9 L11 SP100 P2LI C52 0.1U

D
TP_RXN TP_TXP TP_TXN R82 61.9_1%

R83 56_1%

D

R79 61.9_1% L13

L1 L2 L7 L8 R46 R56 75 R45 75 R44 75

TD+ TDNC NC UL-2 HOLE HOLE H1 H2

FC515_DIP TP_CP

1 120nH

2 CB5 NC-0.1uF

GND

C28 100P Transformer

75

RJ45

CONNECTOR TOP VIEW P/N:UB1112C-L1 (FONCONN) + C53 0.001uF_2KV L9 R154 NC-0 PCIRSTLP3V3 LANRESET8,10,16,21,22,23,29 L10 L11 L12 C235 5pF Y1 25M C234 H2 5pF REF_OUT REF_IN

o

o
L7 L5 L3

o
L1

o
UL-2

C
R148 C214 1uF 22K

x o

H1

o o